Download Abstract - ASU Physics

Document related concepts

Freshwater environmental quality parameters wikipedia , lookup

Process chemistry wikipedia , lookup

Chemical thermodynamics wikipedia , lookup

Nanofluidic circuitry wikipedia , lookup

Superalloy wikipedia , lookup

Gaseous signaling molecules wikipedia , lookup

Vapor-compression refrigeration wikipedia , lookup

Thermal spraying wikipedia , lookup

Low-energy electron diffraction wikipedia , lookup

Ultrahydrophobicity wikipedia , lookup

Adsorption wikipedia , lookup

Surface tension wikipedia , lookup

Protein adsorption wikipedia , lookup

Flux (metallurgy) wikipedia , lookup

Self-assembled monolayer wikipedia , lookup

Wetting wikipedia , lookup

Surface plasmon resonance microscopy wikipedia , lookup

Adhesion wikipedia , lookup

Double layer forces wikipedia , lookup

Nanochemistry wikipedia , lookup

Vapor–liquid equilibrium wikipedia , lookup

Microelectromechanical systems wikipedia , lookup

Transcript
Abstract
CARTER, RICHARD JEROME. Surface Morphology and Chemical Characterization
of Si Surfaces Prepared with HF/Alcohol Vapor Phase Chemistries. (Under the direction
of Professor Robert J. Nemanich)
The surface affects of HF/alcohol vapor phase cleaning of Si at ambient pressure
in a batch process or single wafer process at low pressures (5-50 Torr) in ambient
temperature are investigated. Residue island formation on the silicon surface as a result
of cleaning with HF/alcohol vapor phase chemistries was observed with atomic force
microscopy.
While particle generation during the vapor cleaning process was
suppressed, a new surface defect arose in the form of residue islands due to the vapor
cleaning process.
Secondary ion mass spectroscopy (SIMS) was employed to
characterize the elemental concentrations of O, C, F, and N in the passivating oxide
layers. A correlation between oxide purity and residue island density was observed.
Results indicate that the density of the residue islands remaining upon the surface was
dependent upon the nitrogen concentration in the passivating oxide that was etched.
SIMS and lateral force microscopy (LFM) were used to deduce the chemical composition
of the residue islands. It was determined that the residual islands consisted of silicon and
nitrogen atoms.
Combining experimental data obtained via AFM, LFM and SIMS
analysis, a model for residue island formation is proposed.
After developing a model for the residue island nucleation, a vapor cleaning
process was developed which was able to suppress the formation of residue islands. It
was found that by exposing the oxide surface to methanol vapor first and then to AHF
resulted in clean, residue-free Si surfaces. However, this technique proved successful
only for vapor etching UV-ozone oxides. In the case of using the two step technique to
etch chemical oxides, an anneal of the oxide was necessary prior to vapor cleaning, in
order to achieve residue-free surfaces. Alternative surface preparation chemistries were
also investigated to achieve residue-free surfaces. Vapor etching oxides grown via dilute
RCA or SC-2 chemistries resulted in residue-free surfaces.
Finally, the potential of integrating HF vapor phase cleaning with in situ thermal
cleaning was explored. SIMS spectra showed that an HF vapor cleaned surface resulted
in residual O, C, and F on the Si surface in concentrations less than 10% of a monolayer.
The addition of a 15 sec in situ thermal clean at 800 °C reduced the surface
concentrations of O and F to near SIMS detection limits.
However, the carbon
concentration on the vapor cleaned Si surface was increased. H-plasma cleaning has
demonstrated the ability to remove residual O, C, and F species after an HF dip.
Therefore, we proposed that H-plasma cleaning would be a viable technique after HF
vapor processing.
Surface Morphology and Chemical Characterization of Si Surfaces Prepared
with HF/Alcohol Vapor Phase Chemistries
By
Richard Jerome Carter
A thesis submitted to the Graduate Faculty of
North Carolina State University
in partial fulfillment of the requirements for the
Degree of Doctor of Philosophy
MATERIALS SCIENCE AND ENGINEERING
Raleigh
North Carolina
1999
Approved By:
Robert J. Nemanich
Chair of Advisory Committee
John R. Hauser
Dennis M. Maher
Co-Chair of Advisory Committee
George A. Rozgonyi
To my wife, Ambika, and to my parents, sister, and family. Without their love
and support none of who I am or what I have accomplished would have been possible.
A special thought in loving memory for all of those who are missed.
„I don’t know where I’ll be then Rock, but I’ll know about it, and I’ll be happy“
George Gipp
ii
Biography
Richard Jerome Carter (“Ricky”) was born on June 16th 1972, in Saranac Lake
NY to Philip and Joan Carter. He represented the signs of a naughty child from a very
young age. His parents claim that he was a handful and always getting into trouble. The
problem, however, was that he rarely got punished because he could always end up
making his mother laugh. This gift of his was and still is the core of his personality.
As a child, the author moved several times due to his father's career as a
professor. He lived in Saranac Lake NY, Oxford England, Urbana IL, and Raleigh NC.
He never attended the same school for more than 1.5 years until he started the 6 th grade.
His father accepted a position at N.C. State, which brought him to North Carolina at the
age of 10. The author was both a good student and athlete during middle school and high
school. After high school, he attended the University of Notre Dame. As Notre Dame
was the school of his lineage, it was a dream come true when he was accepted. As a
freshman, the author was undecided as to whether he should major in physics or electrical
engineering. The following summer his father introduced him to several professors in the
engineering college at N.C. State. Every single professor he met had a bachelor’s degree
in Physics and a Ph.D. in engineering. He immediately decided to major in physics and
worry about practical matters in graduate school.
As an undergraduate he had the
opportunity to work in labs at Notre Dame as well as summer positions as an REU
student at N.C. State in the Surface Science lab. This direction in his life led to his two
loves: Science and his future wife.
iii
In his senior year of college, the author decided it was time to leave the abstract
world of physics and pursue studies in the area of materials science. He immediately
applied to the Materials Science & Engineering Department at North Carolina State
University. After being accepted to graduate school, he made arrangements to work for
Dr. Robert J. Nemanich, whom he had worked for as an REU student. He spent the first
year working on parts of several existing projects. One day, Dr. Nemanich asked him to
please pick up a package that was delivered to the lab and investigate the contents. Little
did the author know that this box contained the equipment to the research that led him to
a Ph.D. Under the direction and support of Dr. Nemanich, his research was to study the
surface effects of HF vapor phase cleaning.
This research led to many unique
opportunities that included a summer internship in California and briefly being a
consultant.
On June 13, 1999 Rick married his true love, Ambika Somahekhar after a 6 year
courtship. He successfully defended his Ph.D. on Sept. 8th, 1999. His wife, parents,
sister, grandparents and friends all came out to support and celebrate this
accomplishment. One more thing… GO IRISH !!!!
iv
Acknowledgements
This research and my success in graduate school was by no means an individual effort. I
owe my gratitude to everyone who helped in the laboratory as well as outside the
laboratory.
First, I would like to thank Dr. Robert J. Nemanich for his dedication to making me a
better scientist and teaching me how to perform top level research. I owe much of my
success to his insightful guidance. I also thank him for taking a chance on a 19-year-old
kid to work in his lab that first summer. That opportunity was a huge stepping stone in
getting me to where I am today.
I would like to thank Dr. John R. Hauser for his support and allowing me to do much of
my research in his laboratory. Without his support this research would not have been
possible.
I wish to thank Dr. George A. Rozgonyi for being part of my committee and for willing
to chat about science or whatever else when I would come by and visit him.
I would like to thank Dr. Dennis M. Maher for being part of my committee and for his
interest and enthusiasm in my research.
A special thanks goes to Cecilia Upchurch for helping me whenever I needed it. I
appreciate her friendship and good sound advice.
A special thanks goes to Edna Deas for all of her administrative help throughout my
graduate school career.
I would like to thank Jon Owyang, Dr. David Lee, Yni Le, Theresa Torres, Josie Timbal,
and Sue Mansel, all of whom made my intership at LSI Logic wonderful and memorable.
I especially thank them for their friendships.
I also thank Eric Bergman, Mike Bleck, Halvor Kamrud, and Mark Blaze from Semitool
Corp. for their help and friendships.
I wish to thank Dr. Tom Schneider, who was a mentor for me during my undergraduate
and graduate careers. I thank him for his friendship and tutelage. Tom was instrumental
in developing my understanding of surface physics and wafer cleaning technology.
A special thanks goes to Dr. Jay Montgomery for being ready to work or play depending
upon the mood of the day. I also thank him for being my liaison in San Jose.
My thanks and gratitude go to Hoon Ham and Bruce Rayner for all of their help in
modifying the HF vapor cleaning system and getting it to an operational mode.
v
Thanks go to Don Nelson for building and rebuilding the parts of the HF vapor cleaning
chamber.
Thanks go to Dr. Kevin Kimberlin and John Flanigan who were instrumental in helping
me integrate the HF vapor cleaning system onto Clustertool 2. Their combined efforts
always provided a research environment in which the equipment and facilities were
always operational.
I thank Dr. Jim Christman for loaning me the book “AFM for Dummies.” I thank him for
training me on the AFM and for all of his insightful opinions.
I wish thank Bill Kiether for his help and collaboration with many SIMS runs.
My deepest thanks and appreciation go to Kieran Tracy, Dr. Jim Christman, Dr. Andy
Sowers, Brandon Ward, Bruce Rayner, Dr. Chris Parker, Dr. Kevin Kimberlin, Brian
Timberlake, Dr. Mark Benjamin, and Dr. Jay Montgomery for their close friendships and
hours of discussion both related and unrelated to Science.
Thanks go to members past and present of the Surface Science Lab and ERC Clustertool
lab for the all of the friendships made and cherished.
I wish to thank my family for all of their support both emotionally and financially. Their
love and guidance has and will always allow me to succeed.
Most importantly, I thank my wife Ambika, her love and support always kept me going.
I thank her for always being willing to listen to my practice talks and to read my papers.
I especially thank her for proof reading this thesis.
Finally, to all of those mentioned and not mentioned I thank you dearly.
„I was successful because you believed in me“
Ulysses S. Grant
vi
Table of Contents
List of Tables… … … … … … … … … … … … … … … … … … … … … … … … . xiii
List of Figures… … … … … … … … … … … … … … … … … … … … … … … … xiv
List of Symbols/Abbreviations… … … … … … … … … … … … … … … … … . xviii
Chapter 1.0
Introduction
1.1 Wafer Cleaning … … … … … … … … … … … … … … … … … … .. 1
1.2 Cluster Tools … … … … … … … … … … … … … … … … … … … .. 4
1.3 Thesis Scope … … … … … … … … … … … … … … … … … … … .. 5
References … … … … … … … … … … … … … … … … … … … … .… … 6
Chapter 2.0
Background
2.1 Overview … … … … … … … … … … … … … … … … … … … … … 11
2.2 Commercial Equipment … … … … … … … … … … … … … … … . 12
2.3 HF Vapor Cleaning Mechanisms and Etching Regimes … … … 13
2.3.1 Condensed Phase Regime … … … … … … … … … … .. 14
2.3.2 Enhanced Adsorption Regime … … … … … … … … … 16
2.3.3 Gas Phase Regime … … … … … … … … … … … … … 18
2.3.4 Surface Termination … … … … … … … … … … … … . 18
2.4 Kinetics and Selectivity … … … … … … … … … … … … … … … . 19
2.5 Surface Contaminants and Residue … … … … … … … … … … ... 22
2.6 Summary … … … … … … … … … … … … … … … … … … … … … 25
References … … … … … … … … … … … … … … … … … … … … … … . 27
Chapter 3.0 Experimental and Analysis Methods
3.1 General Experimental Set-up … … … … … … … … … … … … … . 40
vii
3.2 Equipment … … … … … … … … … … … … … … … … … … … … . 41
3.2.1 Semitool Vapor Cleaning System … … … … … … … .. 41
3.2.2 Low Pressure HF Vapor Cleaning Module … … … … . 42
3.3 Analytical Techniques … … … … … … … … … … … … … … … .. 45
3.3.1 Atomic Force Microscopy … … … … … … … … … .… . 45
3.3.2 Lateral Force Microscopy … … … … … … … … … … .. 48
3.3.3 Secondary Ion Mass Spectroscopy … … … … … … … 49
3.3.4 Ellipsometry … … … … … … … … … … … … … … … … 50
3.3.5 Particle Detection … … … … … … … … … … … … … … 50
References … … … … … … … … … … … … … … … … … … … … .… … 52
Chapter 4.0 AFM Analysis of HF/IPA Vapor Cleaning of
Oxidized Si Surfaces
4.1 Abstract … … … … … … … … … … … … … … … … … … … … … .. 58
4.2 Introduction … … … … … … … … … … … … … … … … … … … … 59
4.3 Experimental … … … … … … … … … … … … … … … … … … … .. 62
4.4 Results … … … … … … … … … … … … … … … … … … … … … … 65
4.5 Discussion … … … … … … … … … … … … … … … … … … … … .. 67
4.6 Summary … … … … … … … … … … … … … … … … … … … … … 70
4.7 Acknowledgements … … … … … … … … … … … … … … … … … 71
References … … … … … … … … … … … … … … … … … … … … … … . 72
Chapter 5.0 Surface Residue Island Nucleation in Anhydrous HF/Alcohol Vapor
Processing of Si Surfaces
5.1 Abstract … … … … … … … … … … … … … … … … … … … … … .. 86
5.2 Introduction … … … … … … … … … … … … … … … … … … … … 88
viii
5.3 Experimental … … … … … … … … … … … … … … … … … … … .. 93
5.4 Results … … … … … … … … … … … … … … … … … … … … … … 96
5.5 Discussion … … … … … … … … … … … … … … … … … … … … .. 100
5.6 Summary … … … … … … … … … … … … … … … … … … … … … 107
5.7 Acknowledgements … … … … … … … … … … … … … … … … … 108
References … … … … … … … … … … … … … … … … … … … … … … . 109
Chapter 6.0 HF Vapor Phease Cleaning Process and Oxide Preperation Techniques for
Surface Residue
6.1 Abstract … … … … … … … … … … … … … … … … … … … … … .. 132
6.2 Introduction … … … … … … … … … … … … … … … … … … … … 134
6.3 Experimental … … … … … … … … … … … … … … … … … … … .. 138
6.4 In Situ Residue Suppression … … … … … … … … … … … … … .. 142
6.4.1 Results … … … … … … … … … … … … … … … … … … 142
6.4.2 Discussion … … … … … … … … … … … … … … … … .. 145
6.5 Alternative Oxide Preperation Chemistries … … … … … … … .. 149
6.5.1 Results … … … … … … … … … … … … … … … … … .. 149
6.5.2 Discussion … … … … … … … … … … … … … … … … . 150
6.6 Residue Removal Techniques … … … … … … … … … … … … … 151
6.6.1 Results … … … … … … … … … … … … … … … … … … 151
6.6.2 Discussion … … … … … … … … … … … … … … … … . 153
6.7 Summary … … … … … … … … … … … … … … … … … … … … … 156
6.8 Acknowledgements … … … … … … … … … … … … … … … … … 159
References … … … … … … … … … … … … … … … … … … … … … … . 160
ix
Chapter 7.0 Investigation of Cluster Integrated Cleaning Process for Raised
Source/Drain Structures on Si
7.1 Abstract … … … … … … … … … … … … … … … … … … … … … .. 181
7.2 Introduction … … … … … … … … … … … … … … … … … … … … 183
7.3 Experimental … … … … … … … … … … … … … … … … … … … .. 185
7.4 Results … … … … … … … … … … … … … … … … … … … … … … 188
7.5 Discussion … … … … … … … … … … … … … … … … … … … … .. 190
7.6 Summary … … … … … … … … … … … … … … … … … … … … … 191
7.7 Acknowledgements … … … … … … … … … … … … … … … … … 192
References … … … … … … … … … … … … … … … … … … … … … … . 193
Chapter 8.0
Thesis Conclusion and Future Work
8.1 Thesis Conclusions … … … … … … … … … … … … … … … … … 200
8.2 Recommendations for Future Research … … … … … … … … … 204
x
List of Tables
Table 2.1
Comparing differences in oxide composition between thermal,
chemical, and deposited oxides… … … … … … … … … … … … … … .… … 20
Table 4.1
Displaying RMS roughness values excluded surface residue for
vapor etched surfaces… … … … … … … … … … … … … … … … … … … … 66
Table 5.1
Process parameters, residue island density, average residue dimensions,
and RMS values for HF vapor etched RCA chemical oxides… … … … ..114
Table 5.2
Process parameters, residue island density, average residue dimensions,
and RMS values for HF vapor etched UV-ozone oxides… … … … … … .114
Table 6.1
Modified RCA wet chemical cleaning processes used as alternative
recipes for the formation of passivating oxides on Si surfaces… … … … 139
Table 6.2
Process parameters, residue island density, average residue d imensions,
and RMS values for HF vapor etched UV-ozone oxides… … … … … … .164
Table 6.3
Process parameters, residue island density, average residue dimensions,
residue height range, and RMS values for HF vapor etched RCA
chemical oxides… … … … … … … … … … … … … … … … … … … … … … 168
Table 6.4
Process parameters, residue island density, average residue dimensions,
residue height range, and RMS values for HF vapor etched RCA
chemical oxides… … … … … … … … … … … … … … … … … … … … … … 168
Table 6.5
Process parameters, residue island density, average residue dimensions,
residue height range, and RMS values for chemical oxides vapor etched
with the all-gas process at 25 Torr for 5 minutes… … … … … … … … … .173
Table 6.6
Process parameters, residue island density, average residue dimensions,
residue height range, and RMS values for chemical oxides vapor etched
with the all-gas process and exposed to either a DI rinse, HF dip,
UV-ozone exposure, or thermal anneal… … … … … … … … … … … … ...176
Table 7.1
Interfacial concentrations of O, C, and F for oxi dized and cleaned
surfaces as measured by SIMS… … … … … … … … … … … … … … … … 189
xi
List of Figures
Figure 1.1
Schematic of AEMP clustertool systems.
a) Clustertool designed to fabricate Gate Stack structures,
b) Clustertool designed to fabricate Raised Source/Drain
structures… … … … … … … … … … .… … … … … … … … … … … … … … … 9
Figure 2.1
Volcano plot by Holmes and Snell. Plot of normalized etch rate
vs. wafer temperature for HF/H2O vapor phase etching in the
condensed phase regime. The curve demonstrates etch rate drop
off with increased temperature. The dotted line shows the etch
rate for vapor from a dilute HF liquid solution… … … … … … … … … … ..34
Figure 2.2
Measured adsorption and condensation points as a function of HF
and H2O pressure in an etch reactor. Also shown is the calculated
condensation curve at 20 °C as reported by Helms and Deal… … … … ....35
Figure 2.3
Schematic diagram of the various steps occurring during the HF/H 2O
etching of SiO 2… … … … … … … … … … … … … … … … … … … … … … ...36
Figure 2.4
Schematic diagram showing a chemical path to H-termination for
HF etched Si surfaces: a) HF attack of Si-O bonds, b) F-termination
and H2O production, c) HF attack of polarized Si back-bonds,
d) liberation of SiF 4 and resultant surface H-terminated… … … … … … ...37
Figure 2.5
A plot of the oxide thickness etched vs. time for several different
oxides on silicon. The etch process employed a vapor formed from
an azeotropic HF/H2O solution. The results show that the etch rate
and delay times are dependent on the oxide… … … … … … … … … … … ..38
Figure 3.1
Schematic of Semitool vapor cleaning system for batch processing.
This system employs HF/H 2O and IPA vapor chemistries… … … … … … 53
Figure 3.2
Schematic of anhydrous HF/methanol low pressure vapor cleaning
System… … … … … … … … … … … … … … … … … … … … … … … … … … 54
Figure 3.3
Schematic of AFM/LFM apparatus… … … … … … … … … … … … … … ...55
Figure 3.4
Interatomic force vs. tip-to-sample distance for contact mode,
tapping mode, and non-contact mode… … … … … … … … … … … … … … 56
xii
Figure 4.1
AFM image of a 100:1 HF wet chemically etched Si surface… … … … ...77
Figure 4.2
AFM image of HF/IPA vapor etched native oxide surface… … … … … ...78
Figure 4.3
AFM image of HF/IPA vapor etched RCA chemical oxide… … … … … ..79
Figure 4.4
AFM image of HF/IPA vapor etched thermal oxide… … … … … … … … .80
Figure 4.5
Schematic displaying result of vapor etching contaminant-free
SiO2 and SiO 2 layer with embedded impurities… … … … … … … … … … .81
Figure 4.6
Schematic demonstrating impurity diffusion away from surface
into liquid median during aqueous HF etching of oxide… … … … … … ...82
Figure 4.7
Schematic displaying impurities remaining upon Si surface after
vapor phase etching… … … … … … … … … … … … … … … … … … … … ...83
Figure 4.8
Schematic demonstrating proposed surface residue nucleation at
the macroscopic level… … … … … … … … … … … … … … … … … … … … .84
Figure 5.1
AFM images of HF vapor etched RCA chemical oxides at 50 Torr
for a) 1 min., b) 3 min., and c) 5 min… … … … … … … … … … … … … ...115
Figure 5.2
AFM images of HF vapor etched RCA chemical oxides at 25 Torr
for a) 1 min., b) 3 min., and c) 5 min… … … … … … … … … … … … … ...116
Figure 5.3
AFM images of HF vapor etched RCA chemical oxides at 5 Torr
for a) 1 min., b) 3 min., and c) 5 min… … … … … … … … … … … … … ...117
Figure 5.4
AFM images of HF vapor etched UV-ozone oxides at 50 Torr
for a) 2 min., b) 3 min., and c) 5 min… … … … … … … … … … … … … ...118
Figure 5.5
AFM images of HF vapor etched UV-ozone oxides at 25 Torr
for a) 2 min., b) 3 min., and c) 5 min… … … … … … … … … … … … … ...119
Figure 5.6
Schematic of residue islands displaying relative lateral dimension
and height as a result of vapor etching a) RCA chemical oxide and
b) UV-ozone oxide… … … … … … … … … … … … … … … … … … … … ...120
Figure 5.7
AFM images of a) vapor etched RCA che mical oxide and b) vapor
etched UV-ozone oxide… … … … … … … … … … … … … … … … … … … 121
xiii
Figure 5.8
Corresponding AFM and LFM images for HF vapor cleaned
Si surface and an oxidized HF vapor cleaned Si surface.
a) AFM image of vapor cleaned Si surface and
b) LFM image of the vapor cleaned Si surface.
c) AFM image of oxidized vapor cleaned Si surface and
d) LFM image of oxidized vapor cleaned Si surface… … … … … … … 122
Figure 5.9
SIMS spectra of O, C, F, and N concentrations for a RCA
chemical oxide… … … … … … … … … … … … … … … … … … … … … … 123
Figure 5.10
SIMS spectra of O, C, F, and N concentrations for a
UV-ozone oxide… … … … … … … … … … … … … … … … … … … … … ..124
Figure 5.11
SIMS spectra of O, C, F, and N concentrations for a HF vapor
cleaned Si surface… … … … … … … … … … … … … … … … … … … … … 125
Figure 5.12
SIMS spectra of O, C, F, and N concentrations for a HF
dipped Si surface… … … … … … … … … … … … … … … … … … … … … .126
Figure 5.13
Diagram of nitrogen defect and OH defect in SiO 2 microstructure… … 127
Figure 5.14
Schematic displaying adsorbed layer of alcohol molecules on Si
surface and non-volatile impurity complexes on surface… … … … … … 128
Figure 5.15
Schematic illustrating hydrogen bonding between alcohol molecule
and non-volatile impurity complex… … … … … … … … … … … … … … ..129
Figure 5.16
Schematic illustrating impurity diffusion and formation of
surface residue islands. a) Illustration of impurity complex
diffusion, b) Illustration of initial residue island nucleation
and growth, c) Growth in the lateral direction. d) Diagram of
residue island… … … … … … … … … … … … … … … … … … … … … … ...130
Figure 6.1
AFM images displaying s urface residue as a result of etching
UV-ozone oxides with All-gas process for 2 minutes at
a) 25 Torr, b) 50 Torr, c) 75 Torr… … … … … … … … … … … … … … … .165
Figure 6.2
AFM images displaying no surface residue as a result of etching
UV-ozone oxides with 2-step process at a) 25 Torr for 2 min.,
b) 25 Torr for 5 min., c) 50 Torr for 2 min., d) 75 Torr for 2 min… … ...166
Figure 6.3
Interfacial concentrations of O, C, and F an all-gas vapor cleaned
Si surface and a 2-step vapor cleaned Si surface measured by SIMS… .167
xiv
Figure 6.4
AFM images displaying surface residue as a result of etching
RCA chemical oxides with a) all-gas process at 25 Torr for 2 min.,
b) 2-step process at 25 Torr for 2 min., c) all-gas process at 50 Torr
for 2 min., and d) 2-step process at 50 Torr for 2 min… … … … … … … .169
Figure 6.5
AFM images of RCA chemical oxides etched via a) all-gas process
at 50 Torr for 2 min., b) 650 °C anneal + All-gas vapor etch at 50 Torr
for 2 min., c) 650 °C anneal + 2-step vapor process at 50 Torr for
2 min., and d) 650 °C anneal + 2-step vapor process at 25 Torr for
2 min… … … … … … … … … … … … … … … … … … … … … … … … … … 170
Figure 6.6
Schematic of methanol-surface interactions dependence with etch
time for All-gas and 2-step vapor processes… … … … … … … … … … … 171
Figure 6.7
Schematic of Si and H migration due to overcoming relaxation barrier
for SiO2 microstructure with nitrogen-OH defect pairs… … … … … … ...172
Figure 6.8
AFM images displaying surface residue as a result of vapor etching
(25 Torr, 5 min.) a) RCA chemical oxides, and b) SC1 chemical
oxides… … … … … … … … … ..… … … … … … … … … … … … … … … … .174
Figure 6.9
AFM images displaying surface morphology as a result of vapor etching
(25 Torr, 5 min.) chemical oxides grown via a) SC-1 + HF dip + SC-2,
b) SC-2, c) dilute SC-1, and d) dilute SC-1 + SC-2… … … … … … … … .175
Figure 6.10
AFM images of a) vapor etched chemical oxide, and b) vapor etched
RCA chemical oxide + 30 sec. 2% HF dip. (Etch: 25 Torr, 2 min.) … ...177
Figure 6.11
AFM images of a) vapor etched RCA chemical oxide, and
b) vapor etched RCA chemical oxide + 2 min. DI rinse.
(Etch: 25 Torr, 2 min.)… … … … … … … … … … … … … … … … … … … 178
Figure 6.12
AFM images a) vapor etched chemical oxide, and b) vapor etched
chemical oxide + 5 min. UV-ozone exposure.
(Etch: 25 Torr, 2 min. )… … … … … … … … … … … … … … … … … … … 179
Figure 7.1
Illustration of a proposed two step cleaning process for the contact
hole region prior to Si epitaxy. The two step process is an HF vapor
etch followed by an H-plasma clean… … … … … … … … … … … … … … 198
xv
List of Symbols/Abbreviations
3-D
Å
AFM
AHF
Al
Ar
B
BOE
BPSG
Br
C
°C
Ca
(CH3)2CHOH
CH3CH2OH
CH3OH
Cl
cm
Corp.
Cs
Cu
DI
DL
e.g.
et al.
etc.
eV
F
FAB
Fe
Ga
H
H2O
H2O2
H2SiF 6
H2SiO3
HF
HCl
IC
i.e.
IPA
keV
LFM
MFC
Mg
min
ML
mm
µm
MOS
three dimensional
Angstroms
Atomic Force Microscopy
Anhydrous Hydrogen Fluoride
Aluminum
Argon
Boron
Buffered Oxide Etch
Borophosphosilicate Glass
Bromine
Carbon
Celsius
Calcium
Isopropanol
Ethanol
Methanol
Chlorine
centimeter
Corporation
Cesium
Copper
De-Ionized
Detection Limit
example given
and others
excetera
Electron Volt
Fluorine
Fabrication Laboratory
Iron
Gallium
Hydrogen
Water
Hydrogen Peroxide
Hydrofluorosilicic Acid
Silicic Acid
Hydrogen Fluoride,
Hydrofluoric Acid
Hydrogen Chloride,
Hydrochloric Acid
Integrated Circuit
that is
Isopropyl Alcohol
kilo-Electron Volt
Lateral Force Microscopy
Mass Flow Controller
Magnesium
minute
Monolayer
millimeter
micron, micrometer
Metal Oxide Semiconductor
mTorr
millitorr
N
Nitrogen
NASA National Air and Space A ssociation
NH4F
Ammonium Fluoride
NH4OH
Ammonium Hydroxide
Ni
Nickel
nm
nanometer
O
Oxygen
P
Phosphorous
Poly-Si
Polycrystalline Silicon
PSPD
Photo-Sensitive PhotoDetector
PSG
Phosphosilicate Glass
Ra
Mean Roughness
RCA
Radio Company of America
RIE
Reactive Ion Etching
Rmax
Peak-to-Valley
RMS
Root Mean Square
RPM
Rotations per Minute
RSF
Sensitivity Factor
S
Sulfur
s
seconds
SC-1
Standard Clean 1
SC-2
Standard Clean 2
sccm
Standard cubic centimeters per
minute
sec.
seconds
Si
Silicon
SiC
Silicon Carbide
SiF 4
Silicon Tetrafluoride
Si 2H2
Disilane
SIMS
Secondary Ion Mass
Spectroscopy
SiO2
Silicon Dioxide
SLM
Standard Liter per Minute
TEOS
Tetraethylorthosilicate
TOF
Time of Flight
UV
Ultra Violet
Zn
Zinc
~
approximately
>
greater than
<
less than
≤
less than or equal to
%
percentile
±
plus or minus
∆
big delta
δ
little delta
ψ
Psi
xvi
1.0 Introduction
1.1
Wafer Cleaning
The importance of clean surfaces in the fabrication of microelectronics has been
realized since the 1960’s (1). It has been well established that device performance,
reliability, and product yield are critically affected by the presence of chemical and
particulate impurities residing on the substrate or device surface (1). With the continued
demand to decrease device geometries and increase packing densities in silicon based
integrated circuits, issues related to contamination become increasingly important. As a
result of this trend, wafer cleaning processes have become the most frequently repeated
steps in the fabrication of an integrated circuit. At the current technology node (180 nm),
of the approximately 400 steps required for device fabrication, 20% are wafer cleaning or
surface preparation steps (2). In light of the necessity for wafer cleaning techniques
many conferences have provided symposia dedicated to the development and
improvement of wafer cleaning technology (3-13).
For the past 30 years, wet chemical cleaning steps have been the mainstay in
terms of preparing the Si surface for next step processing.
Cleaning steps have
predominantly consisted of variations of the wet chemical clean developed by Kern and
Puotinen while at RCA Corp. (14). This clean is known as the “RCA clean.” The clean
consists of two standard steps: standard clean-1 (SC-1) and standard clean-2 (SC2). The
original formula of SC-1 is a 1:1:5 mixture of H2O2 (30%), NH4OH (29 wt% as NH3),
and H2O. The SC-1 solution is designed to remove gross organics and particles from the
Si surface (14). The original formula of SC-2 is a 1:1:6 mixture of H2O2 (30%), HCl (37
wt%), and H2O. The SC-2 solution is designed to remove metal contamination from the
Si surface (14). The RCA clean has proven to be an excellent process for removal of
surface contamination. Since the development of the RCA clean, additional wet chemical
cleaning steps have preceded it, been appended to it, or replaced it.
Due to the nature of the RCA clean and most other wet cleaning techniques, as a
result of the clean, the Si surface is passivated with a chemical oxide layer ~ 1nm thick.
The growth of the oxide is designed to protect the Si surface from recontamination.
However, deposition processes and epitaxy are often impeded by the presence of the
oxide. In many instances, an HF-last step has been used to remove the oxide layer and to
replace it with a H-terminated Si surface. However, with the continued decrease in
device geometries, aqueous wet chemical processes have drawbacks.
Major limitations of wet chemical cleaning include the necessity for a subsequent
rinse and dry step after each cleaning step. This rinse and dry step is even more critical
when dealing with HF-last surfaces.
particles and other bath contaminants.
The hydrophobic Si surface strongly attracts
Another drawback is the inability of wet
chemicals to penetrate small geometries with high aspect ratios. Moreover, as wafer size
continues to increase past 300 mm, single wafer processing becomes a reality. Here, the
clustering ability of dry cleaning processes is advantageous. Environmental issues are
also becoming increasingly important, and dry cleaning processes may lead to a reduction
of chemical waste and of water use.
2
The advantages of dry cleaning over conventional wet chemical cleaning are
summarized below:
• Environmentally friendly -Dry cleaning reduces chemical consumption and waste.
• Reduced chemical contamination -Surface always exposed to fresh chemicals
• Improved process uniformity
• More versatile process parameters -Dry cleaning processes may vary pressure, gas
flow rates
• More versatile process chemistries -Almost any liquid phase chemistry may be
excited into the gas or vapor phase
• Single wafer, in situ sequential processing -Dry processing is cluster compatible
• Clean high aspect ratio regions - Surface tension effects do not restrict chemicals
present in the vapor or gas phase
In the semiconductor industry, wet chemical processes will always have a niche in
the manufacturing line (2,15-17). However the advantages of clustertools and sequential,
single wafer processing, are now being realized (15,18). In a recent paper by Heyns et al,
it was proposed that the future of wafer cleaning technology is foreseen as the
combination of wet and dry cleans (17). A wet clean would be employed for gross
contaminant and particulate removal, and a dry clean would be used to prepare the
surface prior to a critical deposition or growth step. These types of cleans are known as
“hybrid cleans” (17).
3
1.2 Cluster Tools
Cluster integrated processing is a technology in which two or more systems are
interconnected, and wafer transfer between the two systems occurs in an enclosed,
protective environment. The transfer environment between cluster modules is maintained
in the waferhandler system. The pressure in the waferhandler may range from 760 Torr
to high vacuum (< 1.0 x 10-6 Torr).
At the North Carolina State University Center for Advanced Electronic Materials
Processing (AEMP) two clustertools are being used for fabricating CMOS Gate-Stack
and Raised Source/Drain structures. The motivation for clustertool processing is derived
from the desire for “Low Thermal Budget, Single Wafer, Sequential Integrated
Processing” (19).
Cluster 1 consists of two modules (Fig. 1.1a): a remote plasma
chemical vapor deposition (RPCVD) module and a rapid thermal processing (RTP)
module. The integration of these two systems allows for complete in situ fabrication of
gate-stack structures (20,21). Cluster 2 consists of five process modules (Fig. 1.1b): a
vapor phase cleaning (VPC) module, two RTP modules, a reactive ion etching (RIE)
module, and a remote plasma cleaning (RPC) module. The VPC and RPC modules are
designed for in situ surface preparation after RIE and prior to selective deposition in the
RTP systems.
4
1.3 Thesis Scope
This thesis focuses on the characterization of HF vapor cleaned surfaces in terms
of morphology and chemistry and it is divided into 8 chapters. Chapter 1 presents an
introduction to wafer cleaning technology and the motivation for cluster integrated
surface preparation. Chapter 2 presents a literature review and background of HF vapor
cleaning of oxides on silicon. Vapor cleaning in terms of mechanism, kinetics, surface
chemistry, and contaminants are discussed.
Chapter 3 describes the experimental
methodology and analysis techniques used to study and characterize HF vapor cleaned
surfaces. Chapters 4-6 are in-depth studies of the resultant surface morphology and
chemistry for cleaning Si surfaces with HF/alcohol vapor phase chemistries. Chapter 7
adds an engineering aspect to the thesis. By building upon what was discovered in
chapters 4-6, this chapter explores the potential of integrating HF vapor processing with
other cleaning steps to achieve atomically clean Si surfaces. Chapter 8 reviews the
conclusions of the thesis and proposes a direction for future research of HF vapor
cleaning of Si surfaces.
5
References
1. “Overview and Evolution of Semiconductor Wafer Contamination and Cleaning
Technology,” W. Kern, in Handbook of Semiconductor Wafer Cleaning
Technology, Edited by W. Kern (Noyes Publications 1993) Chapter 1.
2. R. DeJule, “Trends in Wafer Cleaning,” Semiconductor International, August 1998
pp. 64-68.
3. “First Internationl Symposium on Cleaning Technology in Semiconductor Device
Manufacturing,” Edited by J. Ruzyllo and R.E. Novak, Electrochem. Soc. Conf.
Proc., Vol. 90-9 (1990).
4. “Second International Symposium on Cleaning Technology in Semiconductor Device
Manufacturing,” Edited by J. Ruzyllo and R.E. Novak, Electrochem. Soc. Conf.
Proc., Vol. 92-12 (1992).
5. “Third International Symposium on Cleaning Technology in Semiconductor Device
Manufacturing,” Edited by J. Ruzyllo and R.E. Novak, Electrochem. Soc. Conf.
Proc., Vol. 94-7 (1994).
6. “Fourth International Symposium on Cleaning Technology in Semiconductor Device
Manufacturing,” Edited by J. Ruzyllo and R.E. Novak, Electrochem. Soc., Vol. 97-35
(1997).
7. “Chemical Surface Preparation, Passivation and Cleaning for Semiconductor Growth
and Processing,” Edited by R.J. Nemanich, C.R. Helms, M. Hirose, G.W. Rubloff,
Materials Research Soc. Conf. Proc., Vol. 259 (1992).
6
8. “Surface Chemical Cleaning and Passivation for Semiconductor Processing,” Edited
by G.S. Higashi, E.A. Irene, T. Ohmi, Materials Research Soc. Conf. Proc., Vol. 315
(1993).
9. “Ultraclean Semiconductor Processing Technology and Surface Chemical Cleaning
and Passivation,” Edited by M. Liehr, M. Heyns, M. Hirose, H. Parks, Materials
Research Soc. Conf. Proc., Vol. 386 (1995).
10. “Science and Technology of Semiconductor Surface Preparation,” Edited by G.S.
Higashi, M. Hirose, S. Raghavan, S. Verhaverbeke, Materials Research Soc. Conf.
Proc., Vol. 477 (1997).
11. “Second International Symposium on Ultra Clean Processing of Silicon Surfaces,”
Edited by M. Heyns, Vol. 27-28 (1994)
12. “Third International Symposium on Ultra Clean Processing of Silicon Surfaces,”
Edited by M. Heyns, Vol. 47-48 (1996)
13. “Fourth International Symposium on Ultra Clean Processing of Silicon Surfaces,”
Edited by M. Heyns, Vol. 67-68 (1998)
14. W. Kern and D. Poutinen, “Cleaning Solutions Based on Hydrogen Peroxide for Use
in Silicon Semiconductor Technology,” RCA Review, Vol. 31, 1970, pp. 187-206.
15. P. Singer, “The Thinking Behind Today’s Cluster Tools,” Semiconductor
International, August 1993 pp. 46-51.
16. R. Iscoff, “ Wafer Cleaning: Wet Methods Still Lead the Pack,” Semiconductor
Internationl, July 1993 pp. 58-63.
7
17. M. Heyns, P.W. Mertens, J. Ruzyllo, M.Y.M. Lee, “Advanced Wet and Dry Cleaning
Coming Together for Next Generation,” Solid State Technology, March 1999 pp. 3747.
18. J.R. Hauser, S.A. Rizvi, “Cluster Tool Technology,” Proceedings of the SPIE, Vol.
1594, 1992 pp. 45-54.
19. N.A. Masnari, J.R. Hauser, G. Lucovsky, D.M. Maher, R.J. Markunas, M.C. Ozturk,
J.J. Wortman, “Center for Advanced Electronic Materials Processing,” Proceedings
of the IEEE, Vol. 81, No. 1, January 1993 pp. 42-59.
20. J.R. Hauser, N.A. Masnari, and M.A. Littlejohn, “Multistep, In Situ Single Wafer
Processing-Materials, Device, and Equipment Issues,” Mat. Res. Soc. Vol. , 1989 pp.
15-26.
21. A.M. Bayoumi, J.S. Montgomery, R.T. Kuehn, F.S. Johnson, and J.R. Hauser, “Low
Thermal Budget MOS Gate Stack Formation Using a Cluster Tool Rapid Thermal
Processing Module,” Proceedings of the SPIE, Vol. 2091, 1994 pp. 84-88.
8
Remote Plasma
Deposition Module
Rapid Thermal
Processing Module
Load
Lock
a)
Rapid Thermal
Processing Modules
HF Vapor
Cleaning Module
ERC Plasma
Etching Module
Wafer
Handler
Remote Plasma
Cleaning Module
Load
Lock
b)
Analysis Chamber
Figure 1.1: Schematic of AEMP clustertool systems. a) Cluster 1 designed to fabricate
Gate Stack structures. b) Cluster 2 designed to fabricate Raised Source/Drain structures.
9
In the beginning…
10
2.0 Background
2.1
Overview
Removal of SiO2 via HF vapor was first documented by Holmes and Snell (2) in
1966. Their apparatus consisted of placing an oxidized Si wafer over an aqueous HF
solution. HF vapor from the aqueous solution was delivered to the oxidized surface via
carrier gas (Ar). Their study concluded that the formation of a condensed phase on the
wafer surface was necessary to achieve etch rates comparable to liquid phase etch rates.
Their “Volcano Plot” (Fig. 2.1) recognized the importance of the condensed phase. This
figure shows that the vapor phase etch rate in their experiment peaks at 20 ºC to 30 ºC
and drops to zero at temperatures greater than 40 ºC. Holmes and Snell concluded that at
increased temperature a condensed phase could not be maintained on the wafer surface
and therefore the etch rate approaches zero.
Since these initial experiments, more
sophisticated equipment and vapor chemistries have been developed for HF vapor phase
cleaning of oxide on silicon.
Some areas in integrated circuit fabrication where vapor phase cleaning may have
the greatest impact are:
1)Pre-gate oxidation clean
2)Pre-contact clean
3)Pre-silicide clean
11
In the first two applications the cleaning process exposes the Si substrate. In the third
application, the cleaning process exposes the surface of a polycrystalline Si film prior to
metal deposition.
As the technology for HF vapor phase cleaning has advanced, the process is
maturing into a proven technique for removal of native and chemical oxides. Results have
demonstrated that these passivating oxides can be removed at room temperature with
process times less than 1 minute, while leaving the Si surface H-passivated. Several
research groups (3-17) have investigated removal of native/chemical oxides via vapor HF
chemistries prior to film growth or deposition steps.
One study (15) compared the
substitution of an HF/H2O vapor process in place of all aqueous HF-last steps for
complete CMOS production. In their study, the authors reported contact resistance and
gate oxide integrity comparable to that of aqueous HF-last processing, while cycle time
and cost of ownership favored vapor HF-last. Since HF vapor cleaning is a chemical
process, damage to the substrate and subsurface is prevented unlike plasma or physical
processes such as sputter cleaning.
2.2
Commercial Equipment
To date, almost all vapor cleaning systems are still in the research and development
phase for process integration and process characterization. Given the chemical activity of
HF based vapor, the chambers of the various available systems have ranged from teflon,
SiC, dense alumina, and stainless steel. Processing pressures range from atmosphere to 10
12
Torr. The HF is delivered with anhydrous HF gas, or by bubbling a carrier gas through an
HF containing solution. The HF containing solutions may range from dilute (100:1) to
concentrated (49% HF). HF/H2O containing solutions may also be azeotropic in nature
(38% HF). The azeotropic solution is such that the HF and H 2O have the same rate of
evaporation and the concentration in the vapor and liquid is the same. Water or another
solvent such as isopropyl alcohol (IPA) or methanol, or even acetic acid, is also supplied
to the chamber. Most systems operate with the wafer at room temperature, but some
systems have the capability to heat the wafer to ~ 200 °C. The different systems also
employ other capabilities such as UV-ozone for hydrocarbon removal, anhydrous HCl for
surface metals removal, and water rinse for residual particle removal. More information
on various vapor phase cleaning systems may be found in references: 2,8,15,23,27,3336,46.
2.3
HF Vapor Cleaning Mechanisms and Etching Regimes
HF vapor phase cleaning has been described in terms of three different etch
regimes: 1) the condensed phase, 2) the enhanced adsorption phase, and 3) the gas phase.
In the condensed phase, an aqueous film forms on the oxide surface, and the process is
analogous to liquid phase etching.
In the enhanced adsorption phase, pressures are
reduced such that the surface is characterized as greater than monolayer adsorption. In
the gas phase regime, the pressures are further reduced such that the surface is
characterized as submonolayer coverage.
13
2.3.1 Condensed Phase Regime
Cleaning in the condensed phase regime is the most understood of the three etch
regimes. At a given temperature, in order to form an aqueous film on an oxide surface,
the molecular flux onto the surface must be greater than the desorption flux away from the
surface. Stability of the aqueous film is achieved when the molecular desorption rate is
equal to the adsorption rate (i.e. equilibrium) (18).
Helms & Deal (Fig. 2.2) have
calculated the condensation curve for a surface in equilibrium with HF-H 2O vapor. The
curve displays the necessary partial pressures of HF and H 2O in order for condensation to
occur upon the oxide surface. The condensation curve characteristics for HF with other
solvents (such as IPA or methanol) will likely change due to different azeotropic
concentrations and vapor pressures when mixed with HF. These differences change the
HF and solvent partial pressures necessary for condensation to occur.
The actual chemistry of the HF/SiO 2 etching system follows Eq. [2.1] (19).
However, due to the instability of SiF 4 in solution, the stoichiometry of the reaction in the
aqueous state follows Eq. [2.2] (20,21).
For experimental conditions above the
condensation curve, a condensed layer forms and etching proceeds as given by Eq. [2.2].
Below the curve, conditions are such that a condensed layer does not form, and etching is
more likely to occur via gas-solid interactions given by Eq. [2.1], and therefore the gas
phase process is not analogous to liquid phase etching.
14
SiO2 + 4HF Õ SiF4 + 2H2O
[2.1]
SiO2 + 6HF Õ H2SiF6 + 2H2O
[2.2]
McIntosh et al. (6) have demonstrated that etching of SiO 2 in the condensed phase with
AHF and no solvent is possible. This is due to trace moisture typically present on the
oxide surface. However, this has been observed only for large partial pressures of
hydrogen fluoride. Etching of oxide via HF is an autocatalytic reaction. That is, once the
reaction is initiated it tends to continue. This is due to the continuous production of H 2O
during etching, which in turn continues to ionize the HF molecules as they dissolve into
the aqueous layer. Once initiated the autocatalytic reaction will continue until the oxide is
completely removed. The active etching species in the aqueous layer is considered to be
the HF2- hydrated ion (22).
A model describing the mechanism of vapor phase cleaning in the condensed phase
has been proposed by Helms and Deal (18). This model describes the vapor chemistry of
HF/H2O and is illustrated in Figure 2.3. The following steps describe the model: a)
HF/H2O vapor is introduced to the oxide surface. b) When partial pressures of HF and
H2O are sufficient, a condensed film forms on the oxide surface. This film is analogous to
a thin aqueous layer on the oxide. c) Etching of the oxide occurs after the aqueous film is
present and follows reaction Eq. [2.2]. d) After the oxide is completely removed, the Si
surface becomes hydrophobic, and the reactant species and products desorb from the
surface. However, H2SiF6 (fluorosilicic acid) is not a readily volatile species. In order for
desorption to occur from the oxide-free surface, the following decomposition is necessary
(6,18,23):
15
H2SiF6 Õ SiF4 + 2HF
[2.3]
If this reaction is not possible then a subsequent water rinse is necessary for removal of
silicic acid (18,23). However, it has been found that by adding a desorption step at the
end of the etching process, the condensed liquid could be liberated from the surface (8).
This is achieved by lowering the chamber pressure such that the liquid desorption rate
increased.
2.3.2 Enhanced Adsorption Regime
Vapor phase cleaning in the enhanced adsorption regime is similar to that in the
condensed regime. This regime is achieved by reducing reactant partial pressures such
that only a few monolayers adsorb on the oxide surface. The etching mechanism is similar
to that proposed by Helms and Deal, where an adsorbed film forms upon the oxide
surface. Vermeulen et al. (25) demonstrate experimentally measured condensation and
adsorption layers on oxide surfaces. Conditions for adsorption and condensation were
observed by measuring the change in overall pressure with time ( ∂p/∂t) as reactant gases
were delivered into the chamber. Initially ∂p/∂t is constant. At the onset of adsorption
∂p/∂t decreases as the vapor adsorbs upon the oxide surface. Once a stable condensed
phase forms on the oxide surface, ∂p/∂t obtains a constant value. Vermeulen et al. results
indicate that the condensation curve proposed by Helms and Deal is actually a band
characterized as enhanced adsorption (Fig. 2.2). The actual gas phase regime is below the
16
band, and the condensed phase is above the band. Extreme control of process parameters
is necessary if etching thick oxides (> 100 Å) in the enhanced adsorption regime is desired.
For instance, if partial pressures are not readily controlled, etch uniformity will be very
difficult to control. Adsorbed species will begin to form small-condensed regions on the
oxide surface, and non-uniform etching is the most likely outcome.
A model proposed by Lee et al. (26) describes etching in the enhanced adsorption
regime. According to the model, the etching proceeds as described by Eq. [2.1]. The
model consists of the following four steps:
Step 1) Adsorption of gas species onto the oxide surface.
HF(g) — > HF(ads)
[2.4]
S(g) — > S(ads) (S=(H2O)n or CH3OH)
[2.5]
Step 2) Ionization of HF molecules by the solvent.
2HF(ads) + S(ads) — > HF2-(ads) + SH+(ads)
[2.6]
Step 3) Etching occurs via HF 2- attacking SiO 2 bonds (22).
SiO2(s) + 2HF2-(ads) + 2SH+(ads) — > SiF4(ads) + 2H2O(ads) + 2S(ads)
[2.7]
Step 4) Desorption of the adsorbed species.
SiF4(ads) — > SiF 4(g)
[2.8]
S(ads) — > S(g)
[2.9]
It should be noted that Eq. [2.7] is analogous to Eq. [2.1]. In order to increase the
evaporation rate of H2O produced in the reaction, alcohol may be introduced as the
17
solvent in place of, or along with H 2O (27). The formation of H2SiF6 is possible if SiF 4
species do not readily desorb from the surface.
2.3.3 Gas Phase Regime
Cleaning silicon surfaces in the gas phase regime occurs via gas-solid interactions.
The surface is characterized as having submonolayer coverage. Unlike the two previous
process regimes, the dominant etch species in the gas phase is molecular HF. However,
the chemical reaction is still understood as given in Eq. [2.1]. Etching in the gas phase
regime is extremely slow compared to the adsorbed regimes. The chemical reaction is
most easily understood by the analogy of H-termination of a Si surface (28-30) (Fig. 2.4).
In order for HF to attack the SiO2 bonds, conditioning of the surface via a solvent (H 2O or
alcohol) is necessary (28-30). It is suggested that F atoms attack the Si-O bonds at the
conditioned surface, thereby liberating H 2O and terminating the Si dangling bonds with F
(Fig. 2.4b).
2.3.4 Surface Termination
For all three etch regimes, H-termination of the Si surface is achieved in the same
manner.
Once the SiO 2 layer is completely etched the HF molecules attack the F-
terminated Si surface. This is illustrated in Figure 2.4c. The Si surface is F-terminated
prior to hydrogen termination. Due to the polarity of the Si-F bond, the Si back-bonds are
18
weakened and susceptible to attack by molecular HF. The HF molecules attack the Fterminated surface atoms at the Si-Si back-bonds and liberate SiF
4
and leave the new
surface atoms H-terminated (Fig. 2.4d). The Si-F bond (6 eV) is much stronger than the
Si-H bond (3.5 eV), therefore F-termination is assumed. However, due to the kinetics of
the HF/SiO2 reaction chemistry, the resultant Si surface is H-terminated (28-30). It should
be noted that residual F is commonly observed after surfaces are prepared with HF
chemistries (liquid or vapor). Surfaces processed via dilute HF solutions (< 1:50) result in
less residual fluorine compared to highly concentrated HF solutions (40%) or surfaces
prepared via HF vapor chemistries. Residual fluorine has been measured to be as much as
25% of a monolayer for vapor cleaned surfaces. Fluorine surface concentrations on the
order of 1% are typically measured (e.g. SIMS or XPS) for surfaces etched via dilute HF
solutions.
2.4
Kinetics and Selectivity
Compared to HF wet chemical etching, HF vapor etching is more sensitive to
process parameters. Etch rate and incubation time, or delay time, are significantly affected
by process parameters. Etch rate is defined as the amount of oxide removed per unit time.
Delay time describes the amount of time it takes for measurable etching to occur. In the
condensed regime the delay time is the time it takes for the etching to be initiated and it is
ascribed to the formation of the condensed phase. In the enhanced adsorption regime the
delay time is the time it takes for activation of the HF 2- etch species. Linear etch rates for
19
the condensed and adsorbed regimes are observed by selecting the correct δt offset, delay
time, for the time-axis (31,32). Delay time does not necessarily pertain to the gas phase
regime since etching is so slow. Several research groups (17,31-39) have investigated the
effects on etch rate and delay time by varying many different process parameters. Process
parameters typically include the following: process pressure, reactant partial pressures,
wafer temperature, process gas flow rates, and vapor chemistry.
Results have also
indicated that oxide properties affect both the etch rate and the delay time (31).
Oxide
Thermal and Ozone grown oxides
Native/Chemical oxides
Deposited oxides
Composition
Fairly pure SiO 2, contaminants may arise
from gas phase
Moisture, not pure SiO2 , less dense than
thermal oxides, contaminants arise from
chemical bath
Less dense compared to thermal
or chemical oxides, contaminants arise
from gas phase or oxides may be purposely
doped
Table 2.1: Comparison of differences in oxide composition for thermal, chemical, and
deposited oxides.
The oxides on Si surfaces may be described in three groups 1) thermally grown, 2)
native or chemical oxides, and 3) deposited oxides such as LTO, PSG, plasma oxides
(Table 2.1). The thermally grown oxides are the most dense and typically have the lowest
impurity content. The native or chemical oxides have significant molecular contaminants
and moisture, and the deposited oxides have contaminants arising from the deposition
process. Contaminants in a chemical oxide may make up as much as 20% of the oxide.
20
Deposited oxides may be doped with up to 4-10% impurity atoms. Doped and undoped
deposited oxides contain large amounts of moisture. Plasma oxides may have impurities
due to plasma-wall interactions as a result of the plasma process. Figure 2.5 illustrates
variations in etch rate and delay time for different oxides (31). It should be noted that
these variations were obtained for the azeotropic HF/H2O (38% HF) vapor chemistry.
Variations in vapor chemistry affect etch rate characteristics and delay time. For instance,
the vapor chemistry of AHF and IPA causes the etch rate curve characteristics for a
thermal oxide and a TEOS deposited oxide to be parabolic instead of linear. This vapor
chemistry also increases the delay time of thermal oxide and the TEOS deposited oxide. It
is evident from these curves that it is possible to achieve complete native oxide removal
without significant etching of either the thermal oxide or the deposited oxide (31). The
ability to completely remove a native oxide without affecting surrounding oxides is an
advantageous etch characteristic.
During the fabrication of an integrated circuit, etching of chemical oxides is
typically performed in the presence of deposited oxides. For example, this would occur
during removal of a chemical oxide in the contact regions of the device structure.
Typically a Buffered Oxide Etch (BOE) (mixture of HF and NH 4F) is employed to etch
chemical oxides. The BOE achieves low etch rates of deposited oxides such as BPSG or
PSG and/or photoresist (40). High etch selectivity may be desired for the realization of 3D structures, such as cylindrical capacitors (41-43). In this case, plasma techniques are
typically used to selectively etch deposited oxides (44). In the case of vapor etching, low
or high etch selectivity of deposited oxides may be achieved by varying the moisture
21
content in the etching system (41-43). This provides the advantage of one cleaning
chamber to achieve the two different etch motives. Studies (41-43) have determined that
the rapid etch rate of BPSG and PSG may be compensated by increasing the thermal oxide
etch rate. This is achieved by increasing the H 2O partial pressure in the etching reactor. It
has been shown that at room temperature the BPSG:thermal SiO 2 etch selectivity
approaches 1:1 as the H2O partial pressure increases above 22 Torr.
However, at
increased temperature, an etch selectivity of 1:1 ( BPSG:thermal SiO 2) is not achieved at
H2O partial pressures below 75 Torr.
2.5
Surface Contaminants and Residue
As with any novel cleaning technique there are always disadvantages. The major
disadvantage of vapor phase cleaning compared to aqueous cleaning is that everything is
isolated to the surface. This means the only way for the reactants and products to leave
the surface is by evaporation or desorption. In an aqueous solution the products may
diffuse away from the surface through the liquid bath. In a general sense, the surface
contaminants can be grouped as follows: elemental and molecular contaminants, particles,
and residue.
Several research groups (31,32,45,46) have investigated the change in elemental
and molecular contamination as a result of HF vapor cleaning. Extensive studies (45)
have been performed to characterize HF/H 2O vapor cleaning of the Si surface with XPS,
SIMS, and Auger. These studies have confirmed that residual O, C, and F are comparable
22
to that found using aqueous HF solutions. Izumi et al. (46) were one of the first groups to
characterize HF vapor cleaning using AHF and methanol. Using XPS, they demonstrated
that the AHF/methanol vapor chemistry reduces residual surface oxygen compared to an
HF dip/H2O rinse. Ruzyllo et al. (32) demonstrated, using XPS, that trace amounts of C,
O, and F are detected after AHF/methanol vapor cleaning. Vapor cleaning chemistries of
HF/H2O, AHF/H2O, and AHF/alcohol (methanol or IPA) have shown good results for
native oxide removal without an increase in the inherent elemental surface contamination.
However, it is still a matter of debate as to which vapor chemistry is better for reducing
overall surface contamination. There is concern that use of alcohol in place of H 2O may
increase carbon contamination on the Si surface. However, a study by deLarios and
Borland (31) indicates the opposite. Their study compared a non-processed surface to
surfaces prepared via HF/H 2O vapor chemistry, AHF/IPA vapor chemistry, and HF
dip/H2O rinse. It was found that the AHF/IPA vapor cleaned surface resulted in the least
amount of O, C, and F surface contamination compared to the other surfaces.
Particle generation is a concern when cleaning in the vapor phase. Particles have a
tendency to form if reaction products are not readily desorbed from the surface. Ma et al.
(34) have shown that the critical step for particle reduction is the continuous desorption of
SiF4 during oxide removal. Izumi et al. (46) have demonstrated that if SiF
4
is not readily
desorbed from the surface, it may dissolve in H 2O and precipitate SiO 2 particles, given by
Eq. [2.10]. There is also the possibility that SiF 4 may bond with HF to form H2SiF6, given
by Eq. [2.11]. Izumi et al. have also demonstrated that if H 2SiF6 reacts with H2O, it will
23
precipitate complex molecular particles such as H 2SiO3, given by Eq. [2.12].
The
following reaction equations show possible paths for particle generation:
SiF4 + 2H2O Õ SiO2 + 4HF
[2.10]
SiF4 + 2HF Õ H2SiF6
[2.11]
H2SiF6 + 3H2O Õ H2SiO3 + 6HF
[2.12]
For each case, it has been suggested that in order to suppress particle formation,
H2O in the reaction must be minimized (46). The goal to minimize H 2O has led to the
investigation of alcohols as a replacement for water, as the solvent for HF. Alcohols have
higher vapor pressures than water and will evaporate more readily from the surface.
While water can be replaced as a reactant, it will still be generated as an etch product. No
matter what solvent is used with HF to etch SiO 2, water is always generated in the etching
reaction. However alcohols, such as methanol, have an affinity for H 2O (33,46). This
means that any water generated during the etch tends to form a hydrogen bond with the
alcohol, and both molecules evaporate from the surface together. This phenomena results
in less residence time for H 2O on the surface, which therefore impedes the generation of
particles.
In situ aqueous rinses have also been employed to remove particles generated due
to vapor phase cleaning (23,24). DI (de-ionized) water and dilute HF have been employed
as the rinses after vapor phase cleaning (24). The rinsing occurs right after the etching
step in the same process chamber. After the rinse, drying of the wafer is necessary.
Although rinses are effective in removing particles, this approach negates a superior
24
advantage that vapor phase cleaning has over its aqueous predecessor (e.g. omitting the
rinsing and drying of HF-last surfaces).
While suppression of H 2O in HF vapor processing may reduce particle generation
due to SiF4 reactions, oxide impurity particulates are more difficult to control. It has been
demonstrated that surface residue may result due to impurities in oxides when etching with
HF/alcohol vapor chemistries (47). Impurities in chemically grown oxides can nucleate as
surface residue during desorption of the alcohol adsorbed/condensed layer (48). This
residue is manifested as islands observed in AFM scans obtained from surfaces after vapor
phase cleaning. Since this type of particulate residue is not due to H 2O in the reaction,
suppression of H2O is not the likely solution.
2.6
Summary
For many processes HF vapor phase cleaning offers significant advantages over
wet chemical approaches. The removal of SiO 2 may be achieved in three different etch
regimes: 1) condensed phase, 2) enhanced adsorption phase, and 3) gas phase. The
specific pressures to obtain these regimes are dependent on the specific reactant used and
their equilibrium partial pressures. Unlike aqueous HF chemistries, vapor HF chemistries
may exhibit etch delay times and nonlinear etch rates.
These two parameters are
significantly affected by process parameters. Delay times and etch rates also vary with the
type of oxide being etched. By controlling process parameters (e.g. vapor chemistry,
pressure, temperature, etc.), optimum etch rates and minimal delay times may be achieved.
Elemental surface contamination after HF vapor cleaning is comparable to aqueous HF
25
with slight increase in fluorine and decrease in surface oxygen. Surface particles and
residue can be observed as a result of vapor phase cleaning. The replacement of H 2O with
alcohol (e.g. methanol, IPA), as the solvent for HF, has demonstrated reduced particle
formation. The formation of surface residue is related to the nonvolatile contaminants in
the oxide. The flexibility of the vapor phase approach allows the development of new
chemistries and processes, which can address the formation of surface residue.
26
References
1. “HF Vapour Cleaning of Oxide on c-Si,” R.J. Carter and R.J. Nemanich, in Properties
of Crystalline Silicon, Edited by R. Hull (IEE Publishing & Information Services
1999) Chapter 5.7.
2. P.J. Holmes and J.E. Snell, “A Vapour Etching Technique for the Photolithography of
Silicon Dioxide,” Microelectronics Reliability Vol. 5, 1966 pp. 337-341.
3. M. Ino, N. Inoue, and M. Yoshimaru, “Silicon Nitride Thin-Film Deposition by
LPCVD with In Situ HF Vapor Cleaning and Its Application to Stacked DRAM
Capacitor Fabrication,” IEEE Transactions on Electron Devices, Vol. 41, No. 5, May
1994 pp. 703-708.
4. Y. Ma, M.L. Green, K. Torek, J. Ruzyllo, R. Opila, K. Konstadinidis, D. Siconolfi,
and D. Brasen, “In Situ Vapor Phase Pregate Oxide Cleaning and Its Effects on MetalOxide-Semiconductor Device Characteristics,” J. Electrochem. Soc., Vol. 142, No.
11, November 1995 pp. L217-219.
5. A.E.T. Kuiper and E.G.C. Lathouwers, “Room-Temperature HF Vapor-Phase
Cleaning for Low-Pressure Chemical Vapor Deposition of Epitaxial Si and SiGe
Layers,” J. Electrochem. Soc., Vol. 139, No. 9, September 1992 pp. 2594-2599.
6. R. McIntosh, T. Kuan, and E. Defresart, “Hydrogen Fluoride Vapor Etching for PreEpi Silicon Surface Preparation,” Journal of Electronic Materials, Vol. 21, No. 1, 1992
pp. 57-60.
27
7. R. Novak, “Anhydrous HF Etching of Native SiO 2: Applications to Device
Fabrication,” Solid State Technology, March 1988 pp. 39-41.
8. B.E. Deal, M.A. McNeilly, D.B. Kao, and J.M. de Larios, “Vapor Phase Wafer
Cleaning: Processing for the 1990’s,” Solid State Technology, July 1990 pp. 73-77.
9. D.B. Kao, B.R. Cairns, and B.E. Deal, “Vapor-Phase Pre-Cleans for Furnace-Grown
and Rapid-Thermal Thin Oxides,” J. Electrochem. Soc. Conf. Proc., 1992 pp. 251-59.
10. W.B. de Boer and R.H.J. v.d. Linden, “HF Vapor Etching Applied to Polysilicon
Emitter Transistors,” J. Electrochem. Soc. Conf. Proc., 1992 pp. 277-285.
11. B. Witowski, J. Chacon, V. Menon, “Characterization of an Anhydrous HF Pre-Gate
Oxidation Etching Process,” J. Electrochem. Soc. Conf. Proc., 1992 pp. 372-408.
12. B. Bohannon, B. Witowski, J. Barnett, and D. Syverson, “Vapor Phase Cleaning of
Polysilicon Emitter and Titanium Salicide Structures for 0.35 Micron Technologies,”
J. Electrochem. Soc. Conf. Proc., 1994 pp. 362-373.
13. A.E.T. Kuiper and E.G.C. Lathouwers, Mat. Res. Soc. Symp. Proc. Vol. 259, 1992
pp. 473-478.
14. C.P. D’Emic, J.M. Blum, S.L. Cohen, R.J. Baseman, M. Gilbert, F. Cardone, C.
Stanis, L. Rothman, “Vapor HF Etching for Low Temperature Silicon Epitaxy,” Mat.
Res. Soc. Symp. Proc. Vol. 259, 1992 pp. 479-485.
15. S. O’Brien, B. Bohannon, M.H. Bennett, C. Tipton, and A. Bowling, “Vapor Phase
HF Cleaning in CMOS Production,” J. Electrochem. Soc. Conf. Proc., 1994 pp. 233240.
28
16. M. Caymax, S. Decoutere, E. Röhr, W. Vandervorst, M. Heyns, H. Sprey, A. Storm,
and J.W. Maes, “Electrical Evaluation of the Epi/Substrate Interface Quality after
Different in situ and ex situ Low-Temperature Pre-Epi Cleaning Methods,” 4 th
International Symposium for Ultra Clean Processing of Silicon Surfaces Proceedings,
1998 pp. 237-240.
17. B. Froeschle, Lutz Deutschmann, A.J. Bauer, E.P. Burte, “Characterization of Oxide
Etching and Wafer Cleaning Using Vapor-Phase Anhydrous HF and Ozone,” Mat.
Res. Soc. Symp. Proc. Vol. 470, 1997 pp. 237-242.
18. C.R. Helms and B.E. Deal, “Mechanisms of the HF/H 2O Vapor Phase Etching of
SiO2,” Journal of the Institute of Environmental Sciences, May/June 1992 pp. 21-26.
19. Fluorine and its Compounds , R.N. Haszeldine and A.G. Sharpe, (London: Metheren
and Co. Ltd., New York: John Wiley and Sons, Inc., 1951), pp. 19-20.
20. D.J. Monk, D.S. Soane, and R.T. Howe, “Determination of the Etching Kinetics for
the Hydrofluoric Acid/Silicon Dioxide System,” J. Electrochem. Soc., Vol. 140, No. 8,
August 1993, pp. 2339-2346.
21. D.J. Monk, D.S. Soane, and R.T. Howe, “A Review of the Chemical Reaction
Mechanism and Kinetics for Hydrofluoric Acid Etching of Silicon Dioxide for Surface
Micromachining Applications,” Thin Solid Films, Vol. 232, 1993 pp.1-12.
22. J.S. Judge, “A study of the dissolution of SiO2 in acidic fluoride solutions,” J.
Electrochem. Soc., Vol.118, No.11, November 1971, pp. 1772-1775.
29
23. “Vapor Phase Wafer Cleaning Technology,” B.E. Deal and C.R. Helms, in Handbook
of Semiconductor Wafer Cleaning Technology, Edited by W. Kern (Noyes
Publications 1993) Chapter 7.
24. J.W. Butterbaugh, “Surface Contamination Control Using Integrated Cleaning,”
Semiconductor International, June 1998 pp. 173-176.
25. W.J.C. Vermeulen, L.F.Tz. Kwakman, C.J. Werkhoven, and E.H.A. Granneman, S.
Verhaverbeke and M. Heyns, “A HF Vapour Etch Process for Integration in ClusterTool Processes: Characteristics and Applications,” J. Electrochem. Soc. Conf. Proc.,
1994 pp. 241-252.
26. C.J. Werkhoven, E.H.A. Granneman, L.F.Tz. Kwakman, M. Hendriks, S.
Verhaverbeke, and M. Heyns, “Cluster-Tool Integrated HF Vapor Etching for Native
Oxide Free Processing,” Mat. Res. Soc. Symp. Proc. Vol. 315, 1993 pp. 211-217.
27. C.S. Lee, J.T. Baek, and H.J. Yoo, “Modeling and Characterization of Gas-Phase
Etching of Thermal Oxide and TEOS Oxide Using Anhydrous HF and CH 3OH,” J.
Electrochem. Soc., Vol. 143, No. 3, March 1996 pp. 1099-1103.
28. “Passivation of Silicon Surfaces,” Y.J. Chabal, in Properties of Crystalline Silicon,
Edited by R. Hull (IEE Publishing & Information Services 1999) Chapter 5.5.
29. “Silicon Surface Chemical Composition and Morphology,” G.S. Higashi and Y.J.
Chabal, in Handbook of Semiconductor Wafer Cleaning Technology, Edited by W.
Kern (Noyes Publications 1993) Chapter 10.
30
30. G.W. Trucks, K. Raghavachari, G.S. Higashi, and Y.J. Chabal, “Mechanism of HF
etching of silicon surfaces: a theoretical understanding of hydrogen passivation,” Phys.
Rev. Lett., Vol. 65, 1990 p. 504.
31. J.M. de Larios and J.O. Borland, “Selective Etching of Native Oxide Using Vapor HF
Processing,” J. Electrochem. Soc. Conf. Proc., 1994 pp. 347-354.
32. J. Ruzyllo, K. Torek, and C. Daffron, R. Grant and R. Novak, “Etching of Thermal
Oxides in Low Pressure Anhydrous HF/CH 3OH Gas Mixture at Elevated
Temperature,” J. Electrochem. Soc., Vol. 140, No. 4, April 1993 pp. L64-66.
33. K. Torek and J. Ruzyllo, R. Grant and R. Novak, “Reduced Pressure Etching of
Thermal Oxides in Anhydrous HF/Alcoholic Gas Mixtures,” J. Electrochem. Soc.,
Vol. 142, No. 4, April 1995 pp. 1322-26.
34. Y. Ma, M.L. Green, L.C. Feldman, J. Sapjeta, K.J. Hanson, and T.W. Weidman,
“Vapor Phase SiO 2 Etching and Metallic Contamination Removal in an Integrated
Cluster System,” J. Vac. Sci. Technol. B, Vol. 13, No. 4, Jul/Aug 1995 pp. 14601465.
35. M. Wong, M.M. Moslehi, and R.A. Bowling, “Wafer Temperature Dependence of the
Vapor-Phase HF Oxide Etch,” J. Electrochem. Soc., Vol. 140, No. 1, January 1993
pp. 205-08.
36. M. Wong, M.M. Moslehi, and D.W. Reed, “Characterization of Wafer Cleaning and
Oxide Etching Using Vapor-Phase Hydrogen Fluoride,” J. Electrochem. Soc., Vol.
138, No. 6, June 1991 pp. 1799-1802.
31
37. J.W. Butterbaugh, C.F. Hiatt, and D.C. Gray, “Gas-Phase Etching of Silicon Oxide
with Anhydrous HF and Isopropanol,” J. Electrochem. Soc. Conf. Proc., 1994 pp.
374-383.
38. K. Torek and J. Ruzyllo, and E. Kamieniecki, “Silicon Surfaces Exposed to Anhydrous
HF/CH3OH Etching,” J. Electrochem. Soc. Conf. Proc., 1994 pp. 384-391.
39. K. Torek, J. Ruzyllo, and R. Grant, “Etching of SiO 2 with Anhydrous HF and Organic
Solvent Vapors,” 2nd International Symposium for Ultra Clean Processing of Silicon
Surfaces Proceedings, 1994 pp. 251-254.
40. A. Somashekhar and S. O’Brien, “Etching SiO
2
Films in Aqueous 0.49% HF,” J.
Electrochem. Soc., Vol. 143, No. 9, September 1996 pp. 2885-2891.
41. H. Watanabe, H. Kitajima, I. Honma, and H. Ono, R.J. Wilhelm and A.J.L. Sophie,
“Influence of Water Adsorption/Desorption Processes on the Selectivity of Vapor HF
Etching,” J. Electrochem. Soc., Vol. 142, No. 4, April 1995 pp. 1332-40.
42. H. Watanabe, S. Ohnishi, I. Honma, H. Kitajima, and H. Ono, R.J. Wilhelm and A.J.L.
Sophie, “Selective Etching of Phosphosilicate Glass with Low Pressure Vapor HF,” J.
Electrochem. Soc., Vol. 142, No. 1, January 1995 pp. 237-43.
43. R.J. Wilhem, W.J.C. Vermeulen, and H. Watanabe, “Selective Etching of Phosphorous
Doped Oxides over Undoped Oxides in a Low Pressure HF Vapor Process,” 2nd
International Symposium for Ultra Clean Processing of Silicon Surfaces Proceedings,
1994 pp. 375-378.
32
44. Y. Miyakawa, J. Hashimoto, N. Ikegami, T. Matsui, and J. Kanamori, “Fine contact
hole etching in magneto-microwave plasma,” Jap. Journal of Applied Physics (Part 1regular papers short notes & review papers), Vol. 33, 4B, April 1994 pp. 2145-2150.
45. L.A. Zazzera and J.F. Moulder, “XPS and SIMS Study of Anhydrous HF and
UV/Ozone-Modified Silicon (100) Surfaces,” J. Electrochem. Soc., Vol. 136, No. 2,
February 1989 pp. 484-91.
46. A. Izumi, T. Matsuka, T. Takeuchi, and A. Yamano, “A New Cleaning Method by
Using Anhydrous HF/CH 3OH Vapor System,” J. Electrochem. Soc. Conf. Proc., 1992
pp. 260-66.
47. R.J. Carter, E.J. Bergman, D.R. Lee, J. Owyang, and R.J. Nemanich, “AFM Analysis
of HF Vapor Cleaned SiO 2,” Mat. Res. Soc. Symp. Proc. Vol. 477, 1997 pp. 481-486.
48. R.J. Carter, J.R. Hauser, and R.J. Nemanich, “Surface Residue Nucleation in
Anhydrous HF/Alcohol Vapor Processing of Si Surfaces,” in preparation.
33
1.0
0.5
0
10
20
30
40
Specimen Temperature (°C)
Figure 2.1: Volcano plot by Holmes and Snell (2). Plot of normalized etch rate vs. wafer
temperature for HF/H2O vapor phase etching in the condensed phase regime. The curve
demonstrates etch rate drop off with increased temperature. The dotted line shows the
etch rate for vapor from a dilute HF liquid solution.
34
100
measured
condensation
curve
10
H2O
Pressure
(Torr)
1
measured
adsorption
curve
C.R. Helms & B.E. Deal
20 °C condensation
0.1
1
10
curve
100
HF Pressure (Torr)
Figure 2.2: Measured adsorption and condensation points as a function of HF and H 2O
pressure in an etch reactor (25). Also shown is the calculated condensation curve at 20°C
as reported by Helms and Deal.
35
a)
Vapor: HF + H2O
SiO2
Si
b)
Vapor: HF + H2O
Liquid: H 2O + HF
SiO2
Si
c)
Vapor: HF + H2O
SiF4
Liquid: H 2O + HF + H2SiF6
SiO2
Si
d)
Vapor: HF + H2O + SiF 4
Liquid: H 2SiF6 à SiF4 + 2HF
Si
Figure 2.3: Schematic diagram of the various steps occurring during the HF/H 2O etching
of SiO2.
36
H+
H2O
H+
H+
O
F-
H+
F-
O
Si
a)
F-
Si
F
Si
F
-
-
c)
F
+
+ Si
H
Si
FSi +
b)
Si
-
H2O
F
FH+
F
d)
F
F
H H
Si
Figure 2.4: Schematic diagram showing a chemical path to H-termination for HF etched Si
surfaces: a) HF attack of Si-O bonds, b) F-termination and H 2O production, c) HF attack
of polarized Si back-bonds, d) liberation of SiF 4 and resultant surface H-terminated.
37
15
PSG (4%)
BPSG (4%, 7%)
TEOS
Native
Oxide
10
Native
Oxide
Etched (Å)
800
600
Oxide
Etched (Å)
400
5
Thermal
Oxide
0
0
5
10
200
15
Etch Time (Sec)
Figure 2.5: A plot of the oxide thickness etched vs. time for several different oxides on
silicon (31). The etch process employed a vapor formed from an azeotropic HF/H 2O
solution. The results show that the etch rate and delay times are dependent on the oxide.
38
„Success or failure is often determined on the drawing board“
Robert J. Mckain
39
3.0 Experimental and Analysis Methods
3.1
General Experimental Set-up
The experiments performed in this thesis used two types of HF vapor processing
systems to clean Si surfaces. Initially HF vapor cleaning studies were performed using a
stand-alone HF vapor system provided by Semitool Corp. The system employs HF/H 2O
and isopropyl alcohol (IPA) vapor chemistries at ambient pressure and temperature with
nitrogen as the carrier gas. Analysis techniques used to characterize HF vapor cleaning
under these conditions were atomic force microscopy, ellipsometry, and a tencor particle
counter. Continuation of the vapor cleaning research was performed using an HF vapor
cleaning system donated by Genus Corp. This system was modified for high vacuum
operation and was integrated into a clustertool designed for fabrication of raised
source/drain structures on Si. The system employs anhydrous HF (AHF) and methanol
vapor chemistries, along with nitrogen gas which establishes and controls process
pressure. Typical process pressures range from 5-50 Torr. Analysis techniques used to
characterize vapor cleaning under these conditions were atomic force microscopy, lateral
force microscopy, ellipsometry, and secondary ion mass spectroscopy. This chapter
presents the technical details of the vapor cleaning systems and the analysis techniques.
40
3.2
Equipment
3.2.1 Semitool Vapor Cleaning System
The Semitool HF vapor cleaning system is in a stand-alone system designed for
batch processing (Fig. 3.1). The system is capable of preparing up to 25 6-inch wafers at
a time. The motivation for use of a batch process is so that it may be integrated with wet
bench procedures which employ spray tool technology. The goal is to demonstrate the
possibility of integrating dry cleaning and wet chemical cleaning technology on one
platform.
The system is constructed out of a teflon-based material and is sealed during
processing with a viton o-ring. Teflon-based and viton materials are both resistant to
hydrofluoric acid (HF) in the vapor and the liquid state. The vapor cleaning system
employs hydrofluoric acid and isopropyl alcohol (IPA) vapor chemistries that are
produced with Semitool proprietary vapor generators.
The vapor generators were
designed and manufactured at Semitool Corp. The hydrofluoric acid solutions, used to
generate HF vapor, may range from very concentrated (e.g. 49%) to ultra dilute
depending upon the desired process. It should be noted that an azeotropic mixture of
HF/H2O (38% HF) is desirable to minimize chemical concentration changes during use.
However, for experiments designed in this thesis only 49% HF solutions were used.
Nitrogen is used as the carrier gas for HF and IPA vapor delivery. Chemical vapor
injection is controlled by maintaining a constant nitrogen flow rate using mass flow
controllers. The nitrogen flow capability ranges from 0 to 30 SLM. The nitrogen gas is
initially heated to ~ 30 °C prior to flow through the vapor generators. Heating the gas
41
above ambient temperature is designed to collect more chemical vapor by the carrier gas.
Prior to delivery of the vapor into the chamber, the vapors are mixed together in a mix
plenum. The HF/IPA vapor is then delivered to the process chamber via a gas manifold.
The wafers are held vertically in the process chamber by a teflon-based wafer-boat.
Vapor etching occurs at ambient pressure and temperature. The system is maintained at a
slightly lower pressure than atomosphere by house-exhaust. To improve the uniformity
of the etch, the wafers are rotated at 50 rpm during the etching process. After processing
the system is purged for 1 min using a 1 SLM flow of N 2 through the chamber. This step
is used to dry any condensed liquids on the wafer and chamber surfaces, and also serves
as a FAB safety procedure.
The operator interface to control the system is through a touch screen computer.
Prior to processing the desired etch recipe may be programmed and stored into the
system. The operator adjustable parameters include: etch time, N 2 flow, rotation rate
(RPM), and system purge rate and time. The advantage of this type of interface is that it
reduces the potential for human error during processing, thereby improving the
repeatability of the process recipes.
3.2.2 Low Pressure HF Vapor Cleaning Module
The HF vapor cleaning module is a customized Advantage 2000 system that was
donated by Genus Corporation.
The system has been modified to be high vacuum
compatible. It is a single wafer o-ring (viton) sealed stainless steel chamber with a
silicon carbide (SiC) dome and a polypropylene injector that sits on top of the chamber
42
(Fig. 3.2). The system is designed to accommodate both a 4 or 6 inch wafer. The entire
chamber is positioned on a stainless steel 4-way cross which is used to accommodate
process pumping and chamber evacuation to the wafer transfer pressure (~ 3x10
-6
Torr).
The system employs an Edwards DP80 Drystar mechanical pump for process pumping
and to back an Alcatel turbopump. The system is exhausted through house exhaust.
During processing the mechanical pump is purged with N 2. The Alcatel turbopump is
used to achieve high vacuum transfer pressure and system base pressure (~ 2x10
-7
Torr).
A cold cathode gauge is used to measure the pressure of the system during pumpdown,
wafer transfer, and while the system is idle. During processing and purging of the
system, the turbopump is isolated with a gate valve and an isolation diaphragm valve.
Process gases are delivered via the teflon injector into the process chamber. Process
pressure is measured by a baratron gauge and is regulated using a downstream throttle
valve. The system base pressure is ~ 2x10 -7 Torr and the pressure during wafer transfer
is ~ 3x10 -6 Torr. In the process chamber, the wafer is placed horizontally on a 4-prong
teflon wafer holder, and etching occurs at ambient temperature (~ 25 °C) with process
pressures ranging from 100 Torr to 0.5 Torr. After processing, the system is purged for 1
min with 1 SLM of N 2. After processing and system purging are complete, the system is
evacuated to ~ 26 mTorr. At this point the isolation valve to the process line is closed,
and the isolation and gate valves are opened to the turbopump.
The HF vapor cleaning system employs anhydrous hydrogen fluoride (AHF)
delivered from a gas cylinder. The AHF gas flow range is from 0 to 500 sccm and the
flow is controlled by a MFC.
The use of AHF instead of aqueous HF/H2O vapor
chemistries has many advantages. The first being that stainless steel materials are not
43
attacked by AHF. There are also advantages in terms of safety and in terms of the
environment. The AHF is contained in a gas cylinder in a gas cabinet, whereas aqueous
HF is contained in a vaporizer. Replacement of an empty cylinder involves closing the
bottle and purging gas lines and no disposal of waste. Replacement of aqueous HF
involves deposal and replacement of chemical by human-hand. AHF does not degrade
over time. Since all aqueous HF solutions degrade over time (~ 2 weeks), except for an
azeotropic HF solution, frequent chemical disposal and replacement is required. This
would result in significant chemical waste. AHF was also chosen, because a water-free
process was desirable. For studies of the effects of H2O, it may be added separately.
This system employs methanol vapor as the solvent to ionize the HF.
The
methanol vapor is vacuum evaporated from a teflon coated stainless steel sampling
cylinder that contains ~ 75 mliters of methanol. The methanol gas flow range is from 0
to 67 sccm at room temperature, and the flow is controlled by an N 2 calibrated MFC.
The methanol flow calibration, provided by Brooks Instruments, is 0.667 in an N
2
MFC.
The vapor pressure of methanol at 25 °C is ~ 100 Torr. For processes requiring an
increased methanol flow, the cylinder and gas line may be heated.
Nitrogen is used to establish the desired process pressure and to purge the system
prior to wafer transfer. The nitrogen flow range is from 0 to 1 SLM, and the flow is
controlled by an MFC.
The Genus Advantage 2000 HF vapor cleaning system included a polypropylene
injector connected to a chamber constructed of a SiC dome, a SiC center-chamber, and a
polypropylene base plate. Since SiC is a very brittle material it was not possible to
integrate this system with the AEMP clustertool. The SiC center-chamber was replaced
44
with a teflon center-chamber. The problem with teflon-based materials is that they
adsorb a substantial amount of moisture. This resulted in very long pumpdown times
prior to wafer transfer after HF vapor cleaning. In addition, teflon is a very maleable
material, which led to poor strutural integrity under vacuum. Over time, the teflon
center-chamber section deformed and was no longer useable (~ 6 months).
alternative, a new center-chamber was made from stainless steel.
As an
Stainless steel is
exclusively used to manufacture high vacuum-capatable systems and is readily machined.
Stainless steel does not adsorb moisture to the same degree as teflon; leading to shorter
pumpdown times after HF vapor cleaning.
Stainless steel is not impervious to
hydrofluoric acid like SiC or teflon, however, the attack under the condition of anhydrous
HF is very slow (> 2 mm/year) (1). These considerations made stainless steel a logical
choice for the modification of the vapor cleaning chamber. The stainless steel centerchamber resulted in a lower overall system base pressure and in a measured improvement
in chamber pumpdown times after HF vapor cleaning.
3.3
Analytical Techniques
3.3.1 Atomic Force Microscopy
The atomic force microscope (AFM) is, in many ways, based on the scanning
tunneling microscope (STM). The STM was described in 1982 by Gerd Binnig and
Heinrich Rohrer at IBM Zurich (2). The STM employs a sharpened, conducting tip
which establishes a tunneling current to a small region of the substrate. Imaging of a
sample surface is achieved by applying a bias voltage between the sharpened tip and the
45
sample. When the tip is moved to within 10 Å of the surface, electrons from the tip or
sample (depending on the sign of the bias voltage) begin to tunnel through the 10 Å gap.
This tunneling current varies with the tip-to-sample spacing and this change in signal is
used to image the morphology of the surface. One limitation of the STM is that the
instrument cannot image an insulating sample.
The AFM also uses a sharpened tip, a few microns long and less than 10 nm in
radius. The tip is located at the free-end of a cantilever, and the spring constant of the
cantilever is less than the spring constant between adjacent atoms. When the sample and
tip are brought within close proximity, interatomic forces between the tip and sample
surface cause the cantilever to bend or deflect (3). Unlike the STM, the AFM can image
an insulating sample in addition to conducting and semiconducting samples.
During surface scanning the interactions between the tip and sample cause the
cantilever to bend or deflect to accommodate changes in the sample topography. Optical
methods, such as a laser and photodetector, are typically used to measure the deflection
of the cantilever. The laser beam bounces off the back of the cantilever and into a
position-sensitive photodetector (PSPD). When the cantilever bends, the position of the
laser on the PSPD shifts. The PSPD is designed to measure shifts of light as small as 10
Å. The path length between the cantilever and the detector compared to the length of the
cantilever itself produces a mechanical amplification, such that the system can detect subAngstrom vertical movement of the cantilever (3). As the scanner moves the tip over the
surface the detector measures the deflection of the cantilever. A computer is used to
measure the deflections and can generate a map of the surface topography. A schematic
of the AFM set-up is given in Figure 3.3 (3).
46
The topographic map can be generated in two modes, a constant-height mode or a
constant-force mode. In the constant-height mode, the height of the scanner is fixed and
the variations in cantilever deflections are used to directly generate the topographic map.
In the constant-force mode, the scanner is moved up and down in order to maintain a
constant deflection of the cantilever. In this mode, the image is generated from the
motion of the scanner. Constant-height mode is most often used to obtain atomic-scale
images from very flat surfaces.
In examing AFM images it necessary to be aware of a phenomena known as “tipimaging.” Tip-imaging most often occurs when the tip comes in contact with a surface
protrusion sharper than the tip. In this case, instead of the tip imaging the protrusion, the
sharp protrusion images the tip.
Tip-imaging usually occurs when the tip on the
cantilever becomes worn or is defective. A tip which is damaged or dull results in
erronious imaging of the surface. The imaging is recognized by observing repetitive
patterns or pecular shapes over the surface scan (e.g. retangular, triangular, or star-like
shapes).
The most common force associated with AFM is the interatomic force. The
interatomic force may be attractive or repulsive, depending upon the AFM operating
mode (3). AFM imaging of a surface may be achieved in three different modes: 1)
contact mode, 2) non-contact, or 3) tapping or intermittent-contact mode. In the contact
mode, the tip makes soft physical contact with the sample surface. Due to the close
proximity of the tip atoms to the surface atoms, the interatomic force is repulsive (Fig.
3.4) (3). In the non-contact mode, the tip is on the order of 10 nm from the surface. In
this case the interatomic force is attractive between the tip and the sample surface (Fig.
47
3.4). In tapping mode, both repulsive and attractive forces contribute (Fig. 3.4). In this
case the tip is brought close enough to the sample surface, such that the tip is pulled down
to the surface at the bottom of its travel, i.e. tapping the surface as it scans. In this
research, only contact mode AFM was used to study surface morphology.
3.3.2 Lateral Force Micrscopy
Lateral Force Microscopy (LFM) operates the same way as AFM, except instead
of measuring the cantilever deflections due to interatomic forces, the image is formed by
measuring deflections, or twisting of the cantilever, due to lateral forces on the surface
(3). Due to changes in surface friction, different materials (e.g. Si and SiO 2) can exert
different lateral forces on the tip during a surface scan.
Sudden changes in slope can also cause the cantilever to twist. As the tip comes
in contact with a steep artifact on the surface, the cantilever may twist. This lateral
deflection would be observed with LFM, even though the deflection was not caused by a
variation in frictional forces. It is therefore important to collect AFM and LFM images
simultaneously, in order to distinguish surface morphology effects from changes in lateral
force. AFM uses a “bi-cell“ PSPD, because it only needs to monitor vertical deflections.
LFM requires the use of a “quad-cell“ PSPD in order to montior lateral deflections. The
defections due to lateral forces are measured in terms of voltage. The AFM system used
in these studies has a “quad-cell“ PSPD.
48
3.3.3 Secondary Ion Mass Spectroscopy
The first SIMS instrument was constructed under a NASA contract in the early
1960's to analyze moon rocks (4). When it performed better than expected, exact copies
of the prototype were introduced into the market place. Over the past 30 years, the use of
SIMS for materials characterization has grown steadily.
The electronic materials
industries (semiconductors, optoelectric devices, etc.) are the largest users of SIMS.
SIMS techniques availble include static SIMS, dynamic SIMS, and time-of-flight (TOF)
SIMS. Spectra used in these experiments were obtained using dynamic SIMS.
Secondary ion mass spectrometry (SIMS) is widely used for analysis of trace
elements in solid materials. SIMS employs an energetic primary beam to sputter the
sample surface. Secondary ions, which are formed in the sputtering process, are analyzed
in a double-focusing mass spectrometer system. SIMS may also be used to measure
surface concentrations of the Si substrate. In order to analyze the surface, a capping layer
of approximately 50 nm is deposited on the surface. SIMS measurements are obtained
during sputtering through the capping layer and interface. The SIMS primary ion beam
can be focused to less than 1 µm in diameter (4). Continuous analysis while sputtering
produces information as a function of depth, called a depth profile. Primary beam species
used in SIMS include Cs + , O2+, O , Ar+, and Ga + at energies between 1 and 30 keV (4).
Sputter rates in typical SIMS experiments vary between 0.5 and 5 nm/s (4). The
sputtering process produces secondary ions with a range of (translational) kinetic
energies (4). Oxygen bombardment increases the yield of positive ions and cesium
bombardment increases the yield of negative ions (4).
49
SIMS measures trace levels of all the elements in the periodic table. The SIMS
detection limits for most trace elements are between 1x10 12 and 1x10 16 atoms/cm 3 (4)
Factors which can limit detection sensitivity include: ionization efficiencies (RSF's), the
output of the electron multiplier, and count rate are three factors which can limit
detection sensitivity (4). A recommended book on SIMS is Reference 5.
3.3.4 Ellipsometry
Ellipsometry is a non-destructive optical technique. Polarized monochromatic
light (e.g. a laser) is used to illuminate the wafer at an angle to the surface. Light is
reflected from both the film and substrate surfaces. The reflection changes the phase, ∆,
and the amplitude, ψ , of both the perpendicular and parallel components of the polarized
light (6). The two parameters ∆ and ψ along with δ∆ and δψ are measured (6). Given
the dielectric constant and the refractive index of the material the results can be analyzed,
and the film thickness can be determined. The dielectric constant and refractive index for
a SiO2 film are 3.9 and 1.46, respectively. The refractive index of Si is 3.42. The
thickness of films ranging from less than 10 nm to 5000 nm can be measured (7).
3.3.5 Particle Detection
Particle detection systems are used to scan a wafer surface to measure particle
contamination. Particle detectors are most commonly used in order to monitor and
maintain the quality of process steps. Particles on the device surface are often considered
50
as the “Killer Defects ” in terms of yield and reliability of microelectronics. The particle
counter uses a laser to sweep over the entire wafer surface. Scattering of the laser is
detected by a photodetector and in turn a computer deduces the approximate size of the
detected particles. Using a Tencor 6420 particle counter, the smallest size particle that
could be detected on the wafer surface was 0.13 µm.
51
References
1. P.A. Schweitzer, Corrosion Resistance Tables Part A, A-I , (Marcel Dekker Inc.,
New York, 1991), pp. 1273-1300, 1321.
2. G. Binnig and H. Rohrer, “Scanning Tunneling Microscopy, an Atomic Probe, ”
Scanning Electron Microscopy. pt.3, 1983 pp.1079-82.
3. R. Howland and L. Benatar, A Practical Guide to Scanning Probe Microscopy,
(Park Scientific Instruments, California, 1993).
4. Charles Evans and Associates tutorial on secondary ion mass spectroscopy (SIMS),
website www.cea.com.
5. A. Benninghoven, F. G. Rüdenauer, and H. W. Werner, Secondary Ion Mass
Spectrometry: Basic Concepts, Instrumental Aspects, Applications, and Trends ,
(Wiley, New York, 1987).
6. “Optical properties of Semiconductor Surfaces,” R.F. Wallis and C.A. Sebenne, in
Handbook of Semiconductors, Edited by M. Balkanski, (North-Holland Publishing
Company, 1980) Vol. 2, Chapter 3 pp. 81-82.
7. R.C. Jaeger, Introduction to Microelectronic Fabrication, (Addison-Wesley
Publishing Company 1993), Chapter 3 pp. 44-45.
52
Manifold
Mix plenum
Vertically mounted
wafers
HF/H2O
IPA
N2
Exhaust
N2
N2
Figure 3.1: Schematic of Semitool vapor cleaning system for batch processing. This
system employs HF/H2O and IPA vapor chemistries.
53
N2
Methanol
AHF
Baratron
Gauge
Gate
Valve
Cold Cathode
Gauge
Process
Flow
Turbo
Isolation
Valves
Drystar
Cold Cathode
Gauge
To Wafer
Handler
Wafer
Transfer
~3 x 10-6
Throttle
Valve
Gate
Valve
RGA
Differential
Pumping System
Figure 3.2: Schematic of anhydrous HF/methanol low pressure vapor cleaning system.
54
Mirror
Laser Diode
Quad-Cell
PSPD Detector
Cantilever
Tip
Sample
Scanner
Figure 3.3: Schematic of AFM/LFM apparatus.
55
Force
Tapping Mode
Repulsive Force
Contact
Tip-to-Sample Distance
Attractive Force
Non-contact
Figure 3.4: Interatomic force vs. tip-to-sample distance for contact mode, tapping mode,
and non-contact mode.
56
„Every solution breeds new problems“
Unknown
57
4.0
AFM Analysis of HF/IPA Vapor Cleaning of Oxidized Si Surfaces
Richard J. Carter, Eric J. Bergman, David R. Lee, Jon Owyang,
and Robert J. Nemanich
4.1
Abstract
Si (100) surfaces were cleaned using HF/IPA vapor phase chemistries at ambient
pressure and temperature with nitrogen as the carrier gas. The process was applied to
three different oxides on the Si (100) surface: vapor etching of native oxides, RCA
chemical oxides, and thermal oxides. Atomic Force Microscopy (AFM) was used to
characterize the surface morphology after the HF vapor cleaning process. The AFM
indicated exaggerated peaks in random places on the Si surface as a result of etching
native and chemical oxide surfaces. The peaks were identified as residue remaining on the
Si surface after the vapor cleaning process. The average lateral dimension of the residue is
~ 40 nm, and the average height of the peaks was approximately 5 nm. For vapor etching
of a thermal oxide surface there was no evidence of similar peaks. The density of the
surface residue for native oxide etched surfaces was significantly greater than the residue
density for chemical oxide etched surfaces. The observed differences in residue density
are attributed to the purity of the oxide being etched. From these results, we suggest that
impurities embedded in the oxide contribute to residue formation on the Si surface.
58
4.2
Introduction
This study was a collaboration between North Carolina State University, Semitool
Corp., and LSI Logic Corp. The purpose of this study was to characterize an HF vapor
phase cleaning system for Si surface preparation prior to next step processing, such as:
gate oxidation, silicide deposition, or metal deposition.
The study investigated the
morphological effects of vapor etching of a Si surface passivated with a native oxide, a
chemical oxide, or a thermal oxide. These three oxides were selected because they are
commonly etched during the fabrication of an integrated circuit (IC).
Chemical oxidation of the Si surface in MOS device fabrication is commonly used
to clean the substrate or device surface of organic and metal contamination (1). Thermal
SiO2 is commonly used as a diffusion barrier during high-temperature diffusion steps (2).
The formation of a native oxide on the Si surface typically occurs in atmospheric
conditions (3). It is necessary to remove the SiO 2 films from the Si surface prior to
deposition or growth processes. Aqueous HF solutions are typically used to etch SiO2
from the Si surface; however, as device geometries shrink and wafer size increases, the
move towards vapor or gas phase cleaning processes becomes more critical. HF vapor
phase cleaning has shown distinct advantages over conventional HF aqueous cleaning in
etching applications, in terms of: 1) cleaning high aspect ratio regions, 2) reduced
chemical contamination and degradation, 3) improved process uniformity, 4) reduced
chemical consumption and waste, and 5) feasibility of clustertool integration (4-6).
59
However, as with any novel cleaning technique there are always limitations. The major
limitation of vapor phase cleaning compared to aqueous cleaning is that everything is
isolated onto the surface. Moreover, the only way for the reactants and products to leave
the surface is by evaporation or desorption.
Initial experiments employed, HF/H 2O vapor chemistries to etch SiO 2 from Si
surfaces (5,7-10). However, the use of H2O as the solvent for HF was shown to result in
surfaces with high particle counts (11-13). Water is a product of the vapor etching
reaction, and it is the least volatile species of the reaction (refer to Eqs. [2.1] and [2.2]).
It has been suggested that particles, due to reactions of SiF 4 with HF and H 2O, will result
and remain on the wafer surface if the water is not readily volatilized (14-16). In order to
improve desorption rates of the etch-products, a drying agent such as the aliphatic
alcohols (isopropanol ((CH 3)2CHOH), methanol (CH3OH), or ethanol (CH3CH2OH)) may
be employed (14,15). The use of these types of alcohols has resulted in reduced particle
contamination, improved oxide removal uniformity, and increased device yield (16). It has
been suggested that the use of alcohols would add additional carbon contamination to the
wafer surface. However, studies have shown that the use of HF/alcohol vapor chemistries
does not lead to an increase of surface carbon contamination (17-20). One study actually
indicated a reduction of the surface concentrations of O, F, and C as a result of employing
HF/alcohol vapor chemistries (17).
In vapor phase etching, little or no etching of SiO 2 occurs unless a thin aqueous
layer is formed on the wafer surface (4,7,21). At high reactant gas partial pressures and
room temperature, it may be assumed that the rate of reactant adsorption on the surface is
60
high. Helms and Deal have demonstrated that the formation of the condensed layer is
dependent upon the HF and the solvent partial pressures in the chamber, and on wafer
temperature (4,21). A high adsorption rate leads to condensation on the wafer surface. If
a drying agent, such as IPA, is introduced into the process, it will reduce the liquid layer
through increased desorption (10,18,22). Alcohol molecules reduce the formation of the
aqueous layer by scavenging H 2O molecules from the surface via hydrogen bond
formation (18,22). The addition of an alcohol-drying agent will also affect the reaction
rate of the system. IPA added to an HF/H2O etching environment has shown a decrease in
the etch rates of thermal oxides as compared to etching with HF/H 2O alone (23). In
general, alcohols will not ionize the HF molecules to HF 2- as readily as water (10). Since
HF is delivered as a neutral species to the wafer surface, either water or alcohol may be
used to ionization it.
The differences in adsorption and ionization efficiency may affect
oxide etch rates.
In this study HF vapor phase cleaning is investigated for surface oxide removal for
three distinct surfaces: a native oxide, an RCA chemical oxide, and a thermal oxide. After
the vapor cleaning process, AFM analysis was used to characterize the surface
morphology. Based upon results it was found that, while particle contamination was
controlled, HF/IPA vapor cleaning results in the formation of surface residue.
61
4.3
Experimental
In this study 6 inch diameter p-type Si (100) wafers were used. Wafers, as
received from the manufacturer, were considered to be passivated with a native oxide.
Chemical oxides were prepared using a standard RCA clean. Thermal oxides were grown
via wet oxidation at 900 °C in a horizontal furnace. Prior to thermal oxidation, the wafer
surfaces were prepared with an RCA clean followed by a 100:1 HF dip. Thermal oxide
thicknesses ranged from 5 to 50 nm. Two types of control wafers were used in this study:
the first control wafer experienced a 100:1 HF dip and the second control wafer
experienced a standard RCA clean followed by a 100:1 HF dip. Prior to all RCA cleans,
wafers experienced a piranha etch followed by a 10:1 HF dip. Once all immersion cleans
were completed, the wafers were dried via a "hot" (200 °C) IPA vapor dry. All wet
chemical processing was completed in a traditional immersion wet bench. The piranha
etch consists of a sulfuric acid/ozone mixture at 135 °C. The duration of the piranha etch
was 5 minutes followed by a 5 min DI (de-ionized water) rinse. The SC-1 chemical
mixture consisted of 5:1:1 (H 2O:NH4OH:H2O2) at 70 °C. The SC-2 chemical mixture
consisted of 5:1:1 (H2O:HCl:H2O2) at 80 °C. Wafer surfaces were immersed in SC-1 or
SC-2 baths for 10 minutes, followed by a 10 min DI rinse after each chemical immersion.
The HF/IPA vapor phase cleaning was accomplished in a stand alone batch vapor
cleaning system provided by Semitool Corp. (Fig. 3.1).
The system is capable of
processing up to 25 6-inch wafers at a time. The vapor cleaning system employs aqueous
HF/IPA vapor chemistries, and the vapor is generated using a proprietary process (24).
62
Hydrofluoric acid solutions may range from very concentrated (e.g. 49%) to dilute (e.g.
100:1) depending upon the desired process. An HF concentration of 49% was used to
etch oxides in this study. More dilute solutions are preferred when etching chemical or
thermal oxides in the presence of deposited oxides (25,26). It should be noted that using
aqueous HF containing solutions to generate HF vapor leads to dilution of the aqueous
solution over time. The reason is that molecular HF has a much higher vapor pressure
than H 2O.
The higher vapor pressure enables HF molecules to evaporate from the
aqueous solution at a faster rate than the H 2O molecules. This results in the dilution of the
HF containing solution over time. If the HF containing solution is azeotropic in nature,
HF and H2O maintain the same rate of evaporation. This makes the azeotropic mixture of
HF/H2O (38% HF) desirable, because chemical degradation of the HF solution is
minimized.
Nitrogen is used as the carrier gas for HF and IPA vapor delivery. The system is
capable of heating the carrier gas up to 50 °C. Controlled injection of the chemical vapor
into the chamber was achieved by maintaining the appropriate nitrogen flow rate using
mass flow controllers (MFC). The nitrogen flow rate ranged from 0 to 30 SLM. Wafers
were mounted vertically in the process chamber, and etching occurred at ambient pressure
and temperature (~ 25 °C). To improve oxide etch uniformity, the wafers were rotated
during the etch process. A rotation rate of 50 rpm was used during wafer processing.
Following the etching step, the system is purged with N 2 for 1 minute using a flow of 1
SLM.
63
Since azeotropic HF solutions were not used, dilution of the HF containing
solution occurred over time. The vapor etch process was applied periodically to 100 nm
thermal oxide wafers to monitor the uniformity and consistency of etch rates. As a result,
the chemical in the vapor generator was changed weekly. A Rudolph ellipsometer was
employed to measure 9 points on the thermal oxide surfaces before and after an etch
process.
Target etch rates in this study ranged from 1-10 nm/minute.
Acceptable
deviation in the target etch rates was ≤2%.
Particle monitor wafers were also present during etch rate runs. A Tencor 6420
particle counter was employed to scan monitor wafers before and after the vapor cleaning
process. Particles as small as 0.13 µm could be detected. The measurements indicated
that ≤2 particles were added on a 6 inch wafer per process run.
After processing the wafer, the surface morphology was examined with atomic
force microscopy (measurements were performed by Charles Evans and Associates).
Images were collected using a Digital Instruments Nanoscope III Dimension 5000. An
area of 0.5 µm x 0.5 µm was imaged in the center of each wafer. The surface roughness
analysis included root-mean-square (RMS), mean roughness (R a), and maximum height
(Peak-to-Valley, Rmax ). For the purpose of this study, the RMS values were used to
quantify the surface roughness of the etched wafers.
64
4.4
Results
Figure 4.1 shows a typical AFM image of one of the control wafers after a wet
chemical etch of an oxidized Si surface. RMS values of 0.11 nm and 0.08 nm were
measured for the two control wafers. Figures 4.2-4.4 display images of vapor etched
oxidized Si wafers. Vapor etching a Si surface with native oxide results in a large density
of random peaks on the wafer surface (Fig. 4.2). Both large and small peaks are observed
on the surface. The average height of the larger peaks is ~ 5 nm and the average height of
the smaller peaks is ~ 3 nm, and the average lateral dimension of the peak-bases of both
peaks is ~ 40 nm. The RMS roughness value for this image measures 0.6 nm . Vapor
etching an RCA chemical oxide results in similar peaks, but a significant reduction in peak
density was observed (Fig. 4.3). Moreover, the smaller peaks detected after removal of a
native oxide are not observed after the removal of a chemical oxide. Similar to the result
of vapor etching a native oxide, the average peak height is ~ 5 nm and the average lateral
dimension of a peak-base is ~ 40 nm. The RMS roughness for this image measures 0.3
nm. Vapor etching a 5 nm thermal oxide showed a significant difference in the peak
density and peak size compared to the other two cases (Fig. 4.4). Only a few small peaks
were detected. The peak height measures to be approximately 1-2 nm, and the average
lateral dimension of a peak-base is < 10 nm. The RMS roughness for this image measures
0.14 nm. If the surface peaks are excluded from each of the AFM images, then the RMS
roughness values are approximately equivalent (Table 4.1).
65
Surface
Clean
Morphology
Native oxide
100:1 HF dip
RCA chemical oxide
100:1 HF dip
Native oxide
HF/IPA vapor etch
RCA chemical oxide
HF/IPA vapor etch
Thermal oxide
HF/IPA vapor etch
Atomically
smooth
Atomically
smooth
High density of
random peaks
Reduced density
of random peaks
Smooth
RMS (peaks
excluded)
1.1 Å
0.85 Å
1.8 Å
1.3 Å
1.4 Å
Table 4.1: RMS roughness values excluding peaks for vapor etched surfaces.
Thicker thermal oxides (50 nm, 20 nm, and 10 nm) were vapor etched to
investigate a possible correlation between oxide thickness and residue formation. The
resultant surface morphology of all of the etched thermal oxides resulted in a few small
peaks on the surface ~ 1-2 nm in height and < 10 nm diameter at the peak-base. These
results are comparable to etching a 5 nm thick thermal oxide. Regardless of thermal oxide
thickness, all etched surfaces resulted in similar morphology. No evidence of a correlation
between residue formation and thermal oxide thickness was observed. In addition, a 20
nm thermal oxide surface was etched to a thickness of ~ 10 nm. The partially etched
thermal oxide surface did not show evidence of surface peaks. Hydrophobic surfaces
(oxide-free surface) were vapor cleaned to exclude the possibility that the vapor cleaning
tool or the chemical vapor was the source of the peaks remaining on the Si surfaces.
Vapor cleaning a hydrophobic surface did not result in surface residue.
66
In order to gain further understanding of residue formation, the HF/IPA vapor
cleaning process was separated into two parts: 1) exposure to HF/H2O vapor only and 2)
HF/H2O exposure followed by IPA exposure. Two native oxide surfaces were placed
over solutions of azeotropic HF/H2O (38% HF). The distance between the aqueous
solution and the sample surface was approximately 2 cm, and the samples were held in
position for 5 minutes to insure complete oxide removal. After the samples were exposed
to HF/H2O vapor, one of the samples was then placed over aqueous IPA for 5 minutes.
By performing the chemical exposure in two separate steps, it would enable a
determination as to whether the peak formed during oxide etching or after oxide removal.
A Park Scientific atomic force microscope was employed to image the prepared surfaces.
Exposure to the azeotropic HF alone resulted in a smooth Si surface with no evidence of
peaks in the AFM image. Exposure to HF vapor followed by IPA exposure resulted in
identifiable peaks in the AFM image.
4.5
Discussion
It was observed that the peak density after removal of an RCA chemical oxide was
significantly reduced from the peak density after removal of a native oxide. In this
discussion the peak density is defined as the number of peaks present in a 0.5µm x 0.5µm
scan area of the AFM images. Surface peaks were recognized by visually inspecting the
AFM images. It was also observed that vapor etching a thermal oxide, regardless of
thickness, resulted in minimal amounts of surface peaks. Measured differences in RMS
67
surface roughness are mainly due to the presence of the peaks. This observation provides
evidence that the vapor cleaned surfaces are not roughened by the etching process.
Instead, the surface roughness is due to residue forming on top of the smooth surfaces.
It is important to consider the properties of the different oxides and the oxidized
surfaces, in order to determine how they relate to the observed differences in the density
of the residue. A native oxide is either formed in ambient air or is an aged chemical oxide.
It is likely that more impurities are incorporated into the native oxide compared to a newly
grown RCA chemical oxide. We also suggest that a thermal oxide is nearly contaminantfree. Therefore, it is more pure than either an RCA chemical oxide or a native oxide. This
being the case, AFM analysis indicates that surface residue may be dependent upon the
amount of impurities incorporated in the oxide film. Therefore vapor etching a higher
quality oxide will result in less surface residue than vapor etching a lower quality oxide
(Fig. 4.5). This suggests that oxide impurities are involved in the formation of the surface
residue. In addition, vapor etching oxide-free surfaces does not result in surface residue,
further supporting the hypothesis that oxide impurities are the main constituents of the
observed residue.
It is well established that wet chemical HF etching of oxides does not result in
surface residue formation (27-29). Since impurities are present in chemical oxides (30), it
must be understood why residue is not observed as a result of aqueous etching an oxide.
During aqueous etching, impurities may diffuse away from the surface into the large liquid
median (Fig. 4.6). In the case of vapor phase etching, evaporation or desorption is the
only means for species to leave the surface (Fig. 4.7). Moreover, if a surface species is
68
non-volatile it is trapped on the wafer surface. We suggest this is case for the impurities in
the oxide films.
Current vapor etching models only consider the reaction of HF with pure SiO
(7,21,31,32).
2
The models do not consider reactions with impurities which may be
contained in the oxide or reside at the Si/SiO 2 interface. It is known that IPA will
continue to wet the Si surface after complete oxide removal, thereby eliminating the
hydrophilic/hydrophobic transition on the Si surface (17). The ability of IPA to continue
to wet the Si surface may affect the distribution of nonvolatile impurities remaining on the
wafer surface. This characteristic of IPA may assist in the formation of surface residue.
By dividing the HF/IPA vapor process into two steps it is determined that non-volatile
impurities are present on the surface after HF/H 2O vapor etching and that exposing this
surface to IPA vapor results in the nucleation of surface residue. This suggests that the
impurities form residue on the Si surface after they interact with IPA.
On a macroscopic level, we suggest that interactions between the IPA conden sed
layer and non-volatile impurities allow the collection of impurities, which leads to the
formation of residue islands (Fig. 4.8). The collection of impurities suggests a nucleation
process is required for residue island formation on the Si surface. If the condensed phase
of IPA is suppressed upon the Si surface, it may be possible to prevent residue formation.
This may be achieved by vapor etching native and chemical oxides at lower pressures.
69
4.6
Summary
Surface residue as a result of HF/IPA vapor phase cleaning was identified with
AFM. Residue islands were observed as a result of vapor etching native and chemical
oxides. Vapor etching thermal oxides, regardless of thickness, did not appear to result in
surface residue. The results indicate that impurities in oxide films may be the main
constituent of the surface residue. A correlation between oxide purity and residue island
density is observed. The residue islands identified with AFM may be minimized when
vapor etching contaminant-free SiO 2 surfaces. Surface residue was not observed as a
result of etching native oxides with HF/H 2O vapor only. An HF/H2O vapor etched surface
did result in residue islands as a result of exposure to IPA vapor. The ability of IPA to
condense on a Si surface provides a means for residue island nucleation.
On a
macroscopic level, we suggest that interactions between the IPA condensed layer and nonvolatile impurities allow the collection of impurities. The collection of impurities suggests
a nucleation process is required for residue island formation on the Si surface. Further
research is necessary to characterize and understand the surface residue nucleation process
at the atomic level and the chemistry of the residue islands. Processing at low pressure
may hinder residue island formation, since this may reduce the amount of alcohol
condensation of the Si surface.
70
4.7
Acknowledgments
This work has been partially supported by the National Science Foundation
Engineering Research Centers Program through the Center for Advanced Electronic
Materials Processing (Grant CDR 8721505), LSI Logic Corp., and Semitool Corp.
Authors would like to thank H. Kamrud and M. Bleck for helping run wafers and helpful
discussions; T. Torres, S. Manselle, and J. Timbal for helping with RCA and thermal oxide
growth; S. Yang from Charles Evans & Associates for AFM images and helpful
discussions; L. Pejdo for AFM images at North Carolina State University; J. de Larios
from Lam Research Corp. for helpful discussions.
71
References
1. “Wet Chemical Cleaning and Surface Preparation of Si,” M.M. Heyns and R.J.
Nemanich, in Properties of Crystalline Silicon, Edited by R. Hull (IEE Publishing &
Information Services 1999) Chapter 5.6.
2. R.C. Jaeger, Introduction to Microelectronic Fabrication , (Addison-Wesley Publishing
Company 1993), Chapter 3 pp. 39-40.
3. M. Morita, T. Ohmi, E. Hasegawa, M. Kawakami, and M. Ohwada, “ Growth of
Native Oxide on a Silicon Surface,” Journal of Applied Physics, Vol. 68, No. 3,
August 1990 pp. 1272-1278.
4. “Vapor Phase Wafer Cleaning Technology,” B.E. Deal and C.R. Helms, in Handbook
of Semiconductor Wafer Cleaning Technology, Edited by W. Kern (Noyes
Publications 1993) pp. 274-339.
5. B.E. Deal, M.A. McNeilly, D.B. Kao, J.M. deLarios, “Vapor Phase Wafer Cleaning:
Processing for the 1990’s,” Solid State Technology, July 1990 pp. 73-77.
6. “HF Vapor Cleaning of Oxide on c-Si,” R.J. Carter and R.J. Nemanich, in Properties
of Crystalline Silicon, Edited by R. Hull (IEE Publishing & Information Services
1999) Chapter 5.7.
7. P.J. Holmes and J.E. Snell, “A Vapour Etching Technique for the Photolithography of
Silicon Dioxide,” Microelectronics Reliability Vol. 5, 1966 pp. 337-341.
72
8. D.B. Kao, B.R. Cairns, and B.E. Deal, “Vapor-Phase Pre-Cleans for Furnace-Grown
and Rapid-Thermal Thin Oxides,” J. Electrochem. Soc. Conf. Proc., 1992 pp. 251259.
9. R. McIntosh, T. Kuan, and E. Defresart, “Hydrogen Fluoride Vapor Etching for PreEpi Silicon Surface Preparation,” Journal of Electronic Materials, Vol. 21, No. 1,
1992 pp. 57-60.
10. R. Novak, Solid State Technology, “Anhydrous HF Etching of Native SiO 2:
Applications to Device Fabrication,” March 1988 pp. 39-41.
11. B. Witowski, J. Chacon, and V. Menon, “Characterization of an Anhydrous HF PreGate Oxidation Etching Process,” J. Electrochem. Soc. Conf. Proc, 1992 pp. 372-408.
12. M. Wong, M.M. Moslehi, and R.A. Bowling, “Wafer Temperature Dependence of the
Vapor-Phase HF Oxide Etch,” J. Electrochem. Soc., Vol. 140, No. 1, January 1993
pp. 205-208.
13. M. Wong, M.M. Moslehi, and D.W. Reed, “Characterization of Wafer Cleaning and
Oxide Etching Using Vapor-Phase Hydrogen Fluoride,” J. Electrochem. Soc., Vol.
138, No. 6, June 1991 pp. 1799-1802.
14. Y. Ma, M.L. Green, L.C. Feldman, J. Sapjeta, K.J. Hanson, and T.W. Weidman,
“Vapor Phase SiO 2 Etching and Metallic Contamination Removal in an Integrated
Cluster System,” J. Vac. Sci. Technol. B, Vol. 13, No. 4, Jul/Aug 1995 pp. 14601465.
73
15. K. Torek, J. Ruzyllo, R. Grant, and R. Novak, “Reduced Pressure Etching of Thermal
Oxides in Anhydrous HF/Alcoholic Gas Mixtures,” J. Electrochem. Soc., Vol. 142,
No. 4, April 1995 pp. 1322-1326.
16. Y. Ma, M.L. Green, K. Torek, J. Ruzyllo, R. Opila, K. Konstadinidis, D. Siconolfi,
and D. Brasen, “In Situ Vapor Phase Pregate Oxide Cleaning and Its Effects on MetalOxide-Semiconductor Device Characteristics,” J. Electrochem. Soc., Vol. 142, No.
11, November 1995 pp. L217-219.
17. J.M. de Larios and J.O. Borland, “Selective Etching of Native Oxide Using Vapor HF
Processing,” J. Electrochem. Soc. Conf. Proc., 1994 pp. 347-354.
18. A. Izumi, T. Matsuka, T. Takeuchi, and A. Yamano, “A New Cleaning Method by
Using Anhydrous HF/CH 3OH Vapor System,” J. Electrochem. Soc. Conf. Proc., 1992
pp. 260-266.
19. J. Ruzyllo, K. Torek, and C. Daffron, R. Grant and R. Novak, “Etching of Thermal
Oxides in Low Pressure Anhydrous HF/CH 3OH Gas Mixture at Elevated
Temperature,” J. Electrochem. Soc., Vol. 140, No. 4, April 1993 pp. L64-66.
20. L.A. Zazzera and J.F. Moulder, “XPS and SIMS Study of Anhydrous HF and
UV/Ozone-Modified Silicon (100) Surfaces,” J. Electrochem. Soc., Vol. 136, No. 2,
February 1989 pp. 484-491.
21. C.R. Helms and B.E. Deal, “Mechanisms of the HF/H 2O Vapor Phase Etching of
SiO2,” Journal of the Institute of Environmental Sciences, Vol. 35, May/June 1992 pp.
21-26.
74
22. “Overview of Dry Wafer Cleaning Processes,” J. Ruzyllo, in Handbook of
Semiconductor Wafer Cleaning Technology, Edited by W. Kern (Noyes
Publications 1993) Chapter 10.
23. Proprietary results at LSI Logic Corp.
24. Vapor generators designed and manufactured by Semitool Corp.
25. H. Watanabe, H. Kitajima, I. Honma, and H. Ono, R.J. Wilhelm and A.J.L. Sophie,
“Influence of Water Adsorption/Desorption Processes on the Selectivity of Vapor HF
Etching,” J. Electrochem. Soc., Vol. 142, No. 4, April 1995 pp. 1332-1340.
26. H. Watanabe, S. Ohnishi, I. Honma, H. Kitajima, and H. Ono, R.J. Wilhelm and A.J.L.
Sophie, “Selective Etching of Phosphosilicate Glass with Low Pressure Vapor HF,” J.
Electrochem. Soc., Vol. 142, No. 1, January 1995 pp. 237-243.
27. S.K. Yang, S. Peter, and C.G. Takoudis, “Fundamentals of two-step etching
techniques for ideal silicon-hydrogen termination of silicon(111),” Journal of Applied
Physics, Vol. 76, No. 7, October 1994 pp.4107-4112.
28. D.B. Fenner, D.K. Biegelsen, and R.D. Bringans, “Silicon surface passivation by
hydrogen termination: a comparative study of preparation methods,” Journal of
Applied Physics, Vol. 66, No. 1, 1989 pp. 419-424.
29. P.J. Grunthaner, F.J. Grunthaner, R.W. Futhauer, T.L. Lin, M.H. Hecht, L.O. Bell,
W.J. Kaiser, F.D. Schowengerdt, and J.H. Mazur, “Hydrogen-terminated silicon
substrates for low-temperature molecular beam epitaxy,” Thin Solid Films, Vol. 183,
1991 pp. 1995-1997.
75
30. “Ultratrace Impurity Analysis of Silicon Surfaces by SIMS and TXRF Methods,” R.S.
Hockett, in Handbook of Semiconductor Wafer Cleaning Technology, Edited by
W. Kern (Noyes Publications 1993) Chapter 12.
31. C.S. Lee, J.T. Baek, and H.J. Yoo, “Modeling and Characterization of Gas-Phase
Etching of Thermal Oxide and TEOS Oxide Using Anhydrous HF and CH 3OH,” J.
Electrochem. Soc., Vol. 143, No. 3, March 1996 pp. 1099-1103.
32. A.E.T. Kuiper and E.G.C. Lathouwas, “Room-Temperature HF Vapor-Phase
Cleaning for Low-Pressure Chemical Vapor Deposition of Epitaxial Si and SiGe
Layers,” J. Electrochem. Soc., Vol. 139, No. 9, September 1992 pp. 2594-2599.
76
Figure 4.1: AFM image of a 100:1 HF wet chemically etched Si surface.
77
Figure 4.2: AFM image of HF/IPA vapor etched native oxide surface.
78
Figure 4.3: AFM images of HF/IPA vapor etched RCA chemical oxide surface.
79
Figure 4.4: AFM image of HF/IPA vapor etched thermal oxide surface.
80
HF + H2O + IPA
Atomically Smooth
SiO2
Si
Si
HF + H2O + IPA
SiO2
Si
Oxide
Impurities
Residue
Si
Figure 4.5: Schematic displaying result of vapor etching contaminant-free SiO
layer with embedded impurities.
2
and SiO 2
81
Oxide Impurities
SiO2
Si
Aqueous HF
Aqueous HF
Figure 4.6: Schematic demonstrating impurity diffusion away from surface into liquid
median during aqueous HF etching of oxide.
82
Oxide Impurities
SiO2
Si
HF + H2O + IPA
SiO2
Si
Si
Figure 4.7: Schematic displaying impurities remaining upon Si surface after vapor phase
etching.
83
HF + H2O + IPA
SiO2
Si
Non-volatile
Impurities
Collection of Impurities
forms Surface Residue
Adsorbed
IPA
Si
Si
Figure 4.8: Schematic demonstrating proposed surface residue nucleation at the
macroscopic level.
84
„I am a rock, I am a residue island“
Simon and Garfunkel… almost
85
5.0
Surface Residue Island Nucleation in Anhydrous HF/Alcohol
Vapor Processing of Si Surfaces
Richard J. Carter, John R. Hauser, and Robert J. Nemanich
5.1
Abstract
Anhydrous HF/methanol vapor phase chemistries were employed to etch SiO 2/Si
surfaces at low pressure (5-50 Torr) and ambient temperature. The oxides on Si were
formed from the following: 1) RCA chemical cleaning and 2) UV-ozone treatment.
Etching of these two types of oxides was studied because they are commonly grown
during cleaning procedures in Integrated Circuit (IC) fabrication.
Atomic Force
Microscopy (AFM) and Lateral Force Microscopy (LFM) were used to analyze the HF
vapor cleaned Si surfaces. AFM/LFM displayed residue islands distributed randomly upon
the Si surface as a result of vapor phase cleaning. The size and density of the residue
islands is dependent upon the type of oxide etched. As a result of etching RCA chemical
oxides, the average lateral dimension of the residue islands is 40 nm and the average
height of the islands is 6 nm. As a result of etching UV-ozone oxides, the average lateral
dimension of the residue islands is 30 nm, and the average height of the islands is 3.5 nm.
A high density of residue islands on the surface is observed after the removal of an RCA
chemical oxide. A decrease in island density is observed after the removal of a UV-ozone
oxide compared to RCA chemical oxide removal. Secondary ion mass spectroscopy
86
(SIMS) was used to characterize chemical impurities in the SiO
2
films and the surface
chemistry as a result of HF vapor phase cleaning. SIMS measured concentrations of O, C,
F, and N for the oxide films, a vapor cleaned surface, and an HF dipped surface. The
constituents of the residue islands have been attributed to non-volatile impurities imbedded
in the passivating oxides. It is suggested that these impurities are incorporated into the
oxides during growth. A model is proposed which describes the formation of the residue
islands. Results indicate that condensation of methanol vapor onto the bare Si surface,
after oxide removal, is necessary for residue island formation. We suggest a model in
which residue island nucleation occurs from non-volatile impurities that form hydrogen
bonds with methanol molecules and diffuse into the adsorbed alcohol layer. The molecular
impurities then interact and form residue islands.
87
5.2
Introduction
In this study passivating oxide layers were vapor etched using anhydr ous
HF/methanol chemistries. Characterization of the surface morphology was investigated as
a result of vapor etching RCA chemical oxides and UV-Ozone oxides. Removal of these
two types of oxides was studied, because they are commonly grown during cleaning
procedures in IC fabrication.
SIMS analysis was employed to study the elemental
contaminants in the passivating oxide layers and used to measure impurity concentrations
on the Si surface.
Wafer cleaning processes are the most frequently repeated steps in the
manufacturing of an integrated circuit. There is risk of surface contamination any time the
Si surface is exposed to ambient conditions. Wet chemical cleaning is most commonly
utilized to remove contamination from the Si surface. Wet cleaning steps predominantly
consist of variations of the RCA clean developed by Kern and Puotinen (1). The RCA
clean is used for the removal of surface organics and metals contamination (2-4). Due to
the nature of the RCA clean, the Si surface is passivated with a chemical oxide layer (~ 1
nm thick) that protects the Si surface from recontamination. Dry cleaning techniques are
also employed to clean and oxidize the Si surface during IC manufacturing. A UV-ozone
treatment is a dry cleaning technique typically used to remove organic contamination from
the Si surface (4-6). This technique leaves the Si surface passivated with a “dry” oxide (~
1 nm thick). In both cases, the presence of the oxide may detrimentally effect next step
88
processing. Complete removal of the passivating oxide layer is necessary to achieve low
temperature Si epitaxy in the contact areas of the transistor. In terms of sub-30 Å SiO
2
gate dielectrics, the RCA chemical oxide is no longer a high enough electrical quality
oxide to be part of this most critical area of the transistor (7). In the area of high-K
dielectrics, where interface quality is critical, the presence of unwanted interfacial SiO
2
will
adversely affect the quality of the gate dielectric (8).
In many processes, aqueous HF-last etching has been appended to cleaning
techniques to remove the protective oxide layer and replace it with a H-terminated Si
surface. As device geometries get smaller, cleaning needs become more stringent (2,9).
The trend towards integrated systems (e.g. clustertools), makes aqueous HF as the final
surface preparation step more difficult (10). The realization of clustertool processing
provides an opportunity for the integration of vapor and gas phase cleaning technologies
into critical device fabrication sequences such as gate dielectric formation and raised
source/drain structures involving Si selective epitaxy (10).
HF vapor phase cleaning has shown distinct advantages over conventional HF
aqueous cleaning. The advantages include: 1) cleaning high aspect ratio contact regions;
2) reduced chemical contamination and degradation; 3) rinsing or drying steps are not
required; 4) improved process uniformity is achieved; 5) chemical consumption and waste
are reduced; and 6) clustertool integrated cleaning processes are feasible (11,12).
However, as with any novel cleaning technique there are always limitations. The major
limitation of vapor phase cleaning compared to aqueous cleaning is that the process is
isolated to the surface. Moreover, the only way for the reactants and products to leave
89
the surface is by evaporation or desorption. Therefore, it is necessary to suppress the
formation of nonvolatile species on the surface. Water is a product of the HF/SiO 2
etching reaction, Eq. [2.1] and Eq. [2.2], and it is the least volatile specie of the reaction.
An extended residence time of H 2O, condensed on the surface, may lead to reactions with
SiF4 to form particles and non-volatile liquids on the surface (13-15). It has been shown
that vapor etching SiO 2 with HF/H2O chemistry results in surfaces with high particle
counts (13). Ma et al. (14) have shown that the critical step for particle reduction is the
continuous desorption of SiF4 during oxide removal. Izumi et al. (15) explain that if SiF
4
is not readily desorbed from the surface it may dissolve in H 2O and precipitate SiO 2
particles, or SiF 4 may bond with HF to form non-volatile liquids in the form of H 2SiF6. An
aqueous rinse is one approach to remove particles and liquids from the surface after vapor
phase cleaning (16). Although a rinse may suffice, this approach negates an advantage
that vapor phase cleaning has over its aqueous predecessor (e.g. omitting the rinsing and
drying of HF-last surfaces).
A drying agent, such as the aliphatic alcohols (isopropyl alcohol, methanol, or
ethanol), may be employed to increase desorption rates of the etch products (14,15,17).
The aliphatic alcohols have higher vapor pressures than water and will desorb from the
surface at a much faster rate than water (18). The aliphatic alcohols also increase the
desorption rate of water. This occurs by the formation of hydrogen bonds between the
alcohol and water molecules (19). The use of alcohols in vapor phase cleaning has
resulted in reduced particle contamination, increased wafer uniformity, and increased
device yield (20). However, it has been found that employing alcohol in the vapor phase
90
process results in the nucleation of surface residue during the etching of chemical oxides
(21).
Although the suppression of particle generation is achieved with HF/alcohol
chemistries a new surface defect arises in the form of small residue islands distributed
randomly upon the oxide-free Si surface. The constituents of the residue have been
attributed to nonvolatile impurities imbedded in the chemical oxide (21). During the oxide
etching process, the nonvolatile impurities that remain on the surface nucleate into residue
islands.
The proposal that oxide impurities result in surface residue formation was
developed from a previous study in which thermal, RCA chemical and aged RCA chemical
oxides were vapor etched using an HF/IPA vapor chemistry (21). It was observed that the
removal of thermal oxides did not result in identifiable surface residue, but the removal of
chemical oxides did result in a high density of small residue islands which were observed
using AFM. It was proposed that the residue island formation is dependent upon the
purity of the oxide being etched. This was based on the assumption that a thermal oxide is
extremely pure SiO 2 compared to the chemical oxides. This assumption explained why
residue islands were not observed for thermal oxide removal. The role of the alcohol was
further clarified in that residues were not observed with an HF/H2O vapor chemistry.
It is therefore speculated that either a physical or chemical property of the alcohol
molecules, which differs from water molecules, assists in the residue island nucleation.
Since methanol evaporates at a much higher rate than IPA, but still results in similar
residue islands, it is not likely that the residue formation is due to differences in
evaporation rate between alcohol and H 2O (18). Furthermore, it is not necessarily a
91
chemical difference since both alcohols and water have the ability to form hydrogen bonds
with electronegative elements (22). Although, it should be noted that the formation of
hydrogen bonds is viewed as a critical element for island nucleation. There is a physical
difference between alcohol and H 2O in terms of how the molecules react when in contact
with a hydrogen terminated Si surface. Water molecules will not form an adsorbed layer
on Si, because the surface is hydrophobic. That is, the surface tension of H 2O is greater
than that of Si, so water molecules will not wet the surface (23). In contrast, alcohol
molecules will form an adsorbed layer on Si because the surface tension of alcohol is less
than that of Si allowing the alcohol to wet the surface (23). This property has an effect in
terms of vapor phase cleaning with either H 2O or alcohol as the etch solvent. Once the
SiO2 is removed using HF/alcohol vapor chemistries there is no transition from a
hydrophilic to a hydrophobic state on the surface (24).
The alcohol molecules will
continue to wet the Si surface after the SiO 2 is removed. The formation of residue islands
using HF/alcohol vapor chemistries is derived from the physical property that alcohol
molecules may continue to form an adsorbed layer on the Si surface. McIntosh et al. (25)
have described how the condensed layer of HF and H 2O breaks down as the last
monolayer of the oxide is removed. This is attributed to a surface transition from a
hydrophilic state to a hydrophobic state. Where the hydrophobic state will no longer
support a condensed phase.
In this study we investigate vapor phase cleaning employing anhydrous
HF/methanol vapor chemistries for removal of RCA chemical and UV-ozone oxides at
low pressures and ambient temperature. Scanning force microscopy (AFM/LFM) is used
92
to observe and characterize surface residue formation.
Etching at low pressures is
performed to try to reduce the condensed phase of methanol vapor on the Si surface.
Secondary ion mass spectroscopy is employed to characterize chemical differences
between RCA oxides and UV-ozone oxides. In addition, SIMS is used to chemically
characterize a Si surface with residue islands. The results lead us to propose a possible
mechanism for surface residue island nucleation by considering molecular interactions
between nonvolatile oxide impurities and methanol molecules.
5.3
Experimental
In this study 4-inch diameter n and p-type Si (100) wafers were used. Passivating
oxides were grown on the Si substrates prior to etching via RCA chemical cleaning or
UV-ozone exposure. To remove the native oxide, out of the box wafers experienced a
2% HF dip followed by a 2 min DI (de-ionized water) rinse prior to oxidation. The RCA
chemical cleaning and UV-ozone treatment grew ~ 1.5 nm thick oxides, as determined by
ellipsometry measurements. The RCA chemical treatment was performed using the two
standard steps: 1) SC-1 (5:1:1 H2O:NH4OH:H2O2 at 70 °C) and 2) SC-2 (5:1:1
H2O:HCl:H2O2 at 70 °C). Each step consisted of a 5 min dip in each chemical followed by
a 5 min DI rinse. The UV-ozone treatment consisted of a 5 min exposure of the Si surface
to UV light and ozone gas in a Samco UV & Ozone Dry stripper, model UV-1. The
control surfaces in this study were an RCA cleaned/HF dipped surface and a UV-ozone
treated/HF dipped surface. Wet chemical treatments were performed in a traditional
93
laminar flow wet chemical hood. Immediately after the passivating oxides were grown,
the wafers were loaded into a loadlock connected to a 6-port single wafer processing
clustertool. The loadlock was evacuated to ~ 5x10-6 Torr prior to transfer into the
waferhandler. The waferhandler base pressure measured ~ 6x10
-8
Torr, and wafer transfer
between chambers occurred at ~ 1x10-6 Torr.
Vapor phase cleaning was accomplished in a modified Advantage 2000 system
(Fig. 3.2) that was donated by Genus Corporation. The system was retrofitted to be high
vacuum compatible. It is a single wafer stainless steel chamber with a SiC dome. The
system base pressure is ~ 2x10 -7 Torr. The system employs anhydrous hydrogen fluoride
(AHF) gas delivered from a gas cylinder and methanol vapor that is vacuum evaporated
from a teflon coated stainless steel sampling cylinder. The AHF gas flow range is from 0
to 500 sccm and is controlled by a mass flow controller (MFC). The methanol gas flow
range is from 0 to 67 sccm at room temperature and is controlled by an N 2 calibrated
MFC. The methanol flow calibration, provided by Brooks Instruments, in an N 2 MFC is
0.667. The vapor pressure of methanol at 25 °C is ~ 100 Torr. In order to increase
methanol flow, the cylinder and gas line may be heated. Nitrogen is used to establish the
desired process pressure, and after the cleaning process is complete, N 2 is used to purge
the system prior to wafer transfer. The nitrogen flow range is from 0 to 1000 sccm and
controlled by an MFC. Process gases are delivered via a teflon injector into the process
chamber. Process pressure is measured by a baratron gauge and is regulated using a
downstream throttle valve. The wafer is held horizontally in the process chamber and
etching occurs at ambient temperature (~ 25 °C) with process pressures ranging from 100
94
Torr to 0.5 Torr. In this study, wafers were etched at 50, 25, 5, 0.5 Torr for process
times of 1-5 minutes.
After the wafers were processed they were transferred out of the cluster-integrated
vapor cleaning system and examined with atomic force microscopy. A Park Scientific
atomic force microscope, in contact mode, was employed to measure surface roughness
and characterize the surface morphology. Signals for AFM and LFM were collected and
observed simultaneously. Typical scan sizes used to characterize the cleaned surfaces
were 2 x 2 µm2 with 256 x 256 data points. Scans were performed in the center and edge
of each wafer. The lateral resolution of the AFM is ~ 1-2 nm. The vertical resolution of
the AFM is sub-Å. Silicon nitride tips with a 4.1 N force constant were used for surface
scanning. Long range surface variations were removed from the images using a 2
nd
order
polynomial fit. The Root Mean Square (RMS) roughness values were then calculated for
each of the scanned surfaces. Accuracy of the RMS roughness values is ± 0.05 nm.
SIMS analysis was performed to analyze contaminates in RCA chemical oxides
and in UV-ozone oxides. SIMS was also employed to study contamination concentrations
on the Si surface after vapor cleaning or HF dipping RCA chemically oxidized surfaces.
Oxidized and cleaned surfaces were capped with ~ 50 nm of poly-Si at 650 ºC. Capping
was achieved in a rapid thermal processing (RTP) chamber, which is part of the integrated
cluster environment (Fig. 1.1b). After capping, wafers were sent to Evans East for SIMS
analysis.
The samples were analyzed with a PHI model 6600 secondary ion mass
spectrometer using 3 keV cesium primary ion bombardment and negative ion detection.
Ions were detected in a quadrupole mass spectrometer. Interfacial concentrations of O, C,
95
F, and N were measured. Data was obtained in terms of atoms/cm 3 and atoms/cm 2. The
overall accuracy of the concentration scales should be within 15-20% and the accuracy of
the total depth scales should be within 5-10%.
5.4
Results
HF vapor cleaning of oxidized Si surfaces was performed at 50, 25, 5, and 0.5
Torr for process times of 1-5 minutes (Tables 5.1-5.2). Surface residue islands were
identified by AFM, which correlate with the residue islands identified in our previous study
(23). Etching of RCA chemical oxides for 1, 3, and 5 minutes for process pressures of 50,
25, and 5 Torr resulted in residue formation (Fig. 5.1-5.3). Etching of UV-ozone oxides
for 2, 3, and 5 minutes for process pressures of 50 and 25 Torr resulted in residue
formation (Fig. 5.4-5.5).
The residue islands were observed after etching Si wafers
passivated with either a RCA chemical oxide or a UV-ozone oxide. Both n and p-type
wafers were oxidized and vapor etched, to determine if there is any dependence on residue
formation with substrate doping. Vapor cleaned n and p-type wafers resulted in surface
residue. There appeared to be no difference in residue formation as a result of differences
in substrate doping. Residue island height and lateral dimension were measured for all
identifiable peaks in an AFM image. As a result of RCA chemical oxide removal at 50 and
25 Torr, average island height and lateral dimension measure to be ~ 6-7 nm and 40 nm,
respectively (Fig. 5.6a). A slight decrease in island density and vertical dimension was
observed for vapor etching chemical oxides at 5 Torr. Processing at 5 Torr resulted in an
96
average island height of 4.8 nm. As a result of UV-ozone oxide removal, the average
island height and lateral dimension measure to be ~ 3-4 nm and 30 nm, respectively (Fig.
5.6b). Etching of UV-ozone oxides resulted in a significant reduction in island density and
size compared to etching of RCA chemical oxides (Fig. 5.7).
Processing chemical oxides at 0.5 Torr and UV-ozone oxides at 0.5 and 5 Torr did
not result in surface residue formation. An RCA chemical oxide was processed for 15
minutes at 0.5 Torr and then dipped in H 2O to observe if it would wet or dewet. The
surface displayed hydrophilic characteristics to H 2O, indicating that the oxide was not
completely etched. Similar tests and the same results were observed for etching of UVozone oxides at 0.5 and 5 Torr for extended process times. The lack of observable residue
for processing at the lower pressures is attributed to the fact that complete etching of the
oxide did not occur.
A 100 nm thermal oxide was also processed for 10 minutes at 0.5 and 5 Torr and
measured by ellipsometry pre and post etch to determine if etching occurred.
No
measurable difference in oxide thickness could be obtained by ellipsometry. Thermal
oxide etch rates were obtained for vapor processing at 50 and 25 Torr. Etch rates were
obtained by measuring five points on the thermal oxide before and after etching. Thermal
oxide etch rates ranged from approximately 1.0 to 1.5 nm/min. There did not appear to be
significant variations in etch rate due to process pressure change between 25 and 50 Torr.
It should be noted that thermal oxides typically etch more slowly than other oxides (e.g.
wet chemical or deposited) (11,12,24).
97
RCA chemical oxide removal resulted in a high residue density with roughness
values ranging from 0.5-1.0 nm RMS. UV-ozone oxide removal resulted in a lower
residue density with roughness values ~ 0.2 nm RMS. An estimation of the actual Si
surface roughness may be measured by excluding the surface residue from the AFM
image. Roughness values of ~ 0.2-0.3 nm are measured for all vapor etched SiO 2 surfaces
by excluding the residue islands. The RMS values for the control surfaces are ~ 0.15 nm.
The estimated RMS values for HF vapor cleaned surfaces are comparable to the control
surfaces. This suggests that the Si surface is not roughened as a result of the vapor phase
cleaning. Surfaces with a higher density of residue result in higher roughness values. The
increase in RMS roughness values, compared to the control wafers, is due to the surface
residue, which was also observed in an earlier study (23).
Lateral force microscopy (LFM) was used to measure variations in surface friction
on the HF vapor cleaned surfaces. A difference in frictional forces between the Si surface
and the residue islands is not observed (Fig. 5.8a and 5.8b). This suggests that the Si
surface and residue islands consist of predominantly the same material (i.e. Si). An HF
vapor cleaned surface was oxidized by a UV-ozone treatment and imaged with LFM. A
difference in frictional forces is observed between the SiO 2 surface and the residue islands
(Fig. 5.8c and 5.8d). This suggests that the oxide surface and residue islands consist of
different materials.
SIMS spectra were obtained to measure oxide concentrations of O, C, F, and N.
Interfacial concentrations for an RCA chemical oxide are 4.25x10
15
, 2.81x1012, 2.64x1011,
and 1.79x10 13 atoms/cm 2 for O, C, F, and N, respectively (Fig. 5.9).
Interfacial
98
concentrations for a UV-ozone oxide are 4.59x1015, 4.60x1012, 2.53x1012, and 2.50x10 11
atoms/cm 2 for O, C, F, and N, respectively (Fig. 5.10). One monolayer (ML) on a Si
surface is equivalent to ~ 8x10 14 atoms/cm 2. The RCA chemical oxide and the UV-ozone
oxide concentrations of oxygen are equivalent to 5.3 ML and 5.7 ML, respectively. One
monolayer is ~ 0.25 nm, therefore the RCA clean grows an ~ 1.3 nm thick oxide film and
the UV-ozone exposure grows an ~ 1.4 nm thick oxide film. Carbon concentrations in
both oxide films are comparable, but there is about an order of magnitude more fluorine in
the UV-ozone oxide compared to the RCA chemical oxide. The RCA chemical oxide has
significantly more nitrogen in the film than the UV-ozone oxide. There is almost a two
order of magnitude difference in nitrogen concentration between the two oxides.
SIMS spectra were obtained to measure residual species of O, C, F, and N as a
result of vapor etching an RCA chemical oxide and HF dipping an RCA chemical oxide.
This was done to investigate differences in the surface chemistry between a surface with a
high density of residue islands and a residue-free surface. Interfacial concentrations for an
HF vapor cleaned surface are 8.84x10 13, 7.62x1013, 5.07x1011, and 1.46x10 12 atoms/cm 2
for O, C, F, and N, respectively (Fig. 5.11). Interfacial concentrations for an HF dipped
surface are 2.01x10 14, 8.69x1013, 9.14x1011, and 4.15x10 11 atoms/cm 2 for O, C, F, and N,
respectively (Fig. 5.12). Residual oxygen on the vapor cleaned surface is < 1/8 ML.
Residual oxygen on the HF dipped surface is ~ 1/4 ML. Residual O, C, and F as a result
of HF vapor cleaning are less than an HF dipped surface. Residual N as a result of HF
vapor cleaning is greater than an HF dipped surface.
99
5.5
Discussion
We first consider the effectiveness of the HF vapor process to etch oxide films.
RCA chemical oxides can be removed in process times of 1 minute or less at process
pressures of 5, 25, and 50 Torr. Complete removal of UV-ozone oxides was achieved in
process times of 2 minutes or less at process pressures of 25 and 50 Torr. The difference
in the etch time for complete removal of the UV-ozone oxides as compared to the RCA
chemical oxides may be attributed to differences in the etch delay time (11,12,17,24). An
RCA chemical oxide is a hydrous oxide (contains moisture), whereas a UV-ozone oxide is
a dry oxide. We suggest that the difference in moisture content between the two types of
oxide leads to the different etch times necessary for oxide removal. Differences in the
moisture content may also explain why UV-ozone oxides and thermal oxides did not etch
at 5 Torr. The moisture content in the chemical oxides may induce an adsorbed layer for
vapor etching at lower pressures, which is not observed for dry oxides.
For all cases, except for processing at 5 Torr, residue size and surface density are
independent of the process time or the process pressure. This implies that the residue
islands are not etched by the HF vapor cleaning process. These results further suggest
that complete oxide removal is necessary before residue formation occurs. The results
also indicate that residue formation occurs soon after oxide removal or right before
desorption of the condensed methanol layer. In either case, this implies a fast nucleation
rate for the residue islands. Vapor etching chemical oxides at 5 Torr displayed a slight
variation in residue density and an overall smaller dimension compared to vapor etching
100
chemical oxides at 25 and 50 Torr. It is suggested that vapor etching at this pressure,
resulted in a reduced overall thickness of the condensed phase. This implies that a
reduction in the condensed layer yields smaller residue islands.
Therefore complete
suppression of a condensed phase on the Si surface could significantly reduce residue
island nucleation.
We next consider the dependence of residue island size and density on the
differences in oxide purity (23).
A wet chemically grown oxide is more likely to
incorporate elemental defects in the oxide than a UV-ozone oxide grown in a “dry”
environment.
These contaminants can be divided into major constituents and minor
constituents. The major constituents typical in a passivating oxide are: C, F, H, and N.
These typically range from 10 13-1014 atoms/cm 2 (26). The following minor constituents
are typical in a passivating oxide: B, Mg, Al, P, S, Cl, Ca, and Br (range 10 6-1012
atoms/cm 2) and Fe, Ni, Cu, and Zn (10 10 atoms/cm 2) (26).
It is appropriate to consider the size of the residue islands in order to determine
their chemical composition.
Since the residue islands are on the order of several
monolayers in size, it is considered that the minor constituents do not significantly
contribute to the residue composition. That leaves us to consider the major constituents.
Since Si and O are present in thermal oxides it is not likely that Si and O alone are
responsible for the residue islands, although they may contribute to residue composition.
Hydrogen incorporation into SiO 2 has been observed as Si-H and O-H bonds (27).
Fluorine incorporation into SiO 2 has been observed as Si-F, mostly observed at the SiSiO2 interface (27). Vapor etching oxide films with H or F incorporation would likely
101
yield Si-Hx, Si-Fx, or OHx species. Such species are volatile and will not remain on the
surface. Therefore H or F are not considered to be the constituents which cause the
formation of the residue islands. This leaves carbon and nitrogen as the more likely
constitutes responsible for island nucleation and residue formation in the HF/methanol
vapor process.
Many thermal oxide processes employ a 5 min N 2 anneal prior to
oxidation. The N 2 anneal is effective in preventing carbon contamination from being
incorporated into the oxide during growth (28). Nitrogen incorporation into thermal SiO
2
usually requires gases other than N 2 (29). An extended N 2 exposure of an SiO 2 film at
temperatures greater than 1000 °C is necessary for nitrogen incorporation into a thermal
oxide (30). Most thermal oxide processes employ an N 2 annealing step prior to growth
and are grown at temperatures less than 1000 °C.
Therefore, significant carbon or
nitrogen contamination is not likely in a thermal oxide. However, carbon and nitrogen
contamination can be prevalent in a chemical oxide.
Therefore, we will consider carbon or nitrogen species, as the possible
contaminants responsible for surface residue formation.
Again given the size of the
surface residue, it could be assumed that the residue consists of complexes of N or C with
Si and/or O and not N or C alone. HF vapor chemistries are not likely to attack and break
Si-N, N-O, Si-C, or C-O bonds as readily as Si-O bonds (31). In order to determine the
chemical composition of the impurity complex, it is appropriate to consider the acquired
LFM images. It is observed, using LFM, that the frictional forces of the Si surface and a
residue island are comparable. It is also observed that the frictional forces of an SiO 2
102
surface and a residue island differ. This implies that the islands mainly consist of Si and
less N or C, but not O or SiO2.
Nitrogen incorporation into the SiO 2 microstructure has been demonstrated in the
form of N and OH or N and F defect pairs (32) (Fig. 5.13). In these configurations, the
nitrogen atom is bonded to three Si atoms and the OH or F is bonded to a single Si atom
(32). This configuration is favored because the nitrogen has three dangling bonds and OH
or F have one (20). It has also been shown that N may be bonded to two Si atoms and
one H atom (32). It is also reasonable to consider a N defect without the presence of an
OH or F pair. Carbon incorporation into the SiO 2 microstructure has been suggested in
the form of Si-C bonding (33). This has been observed when the Si surface contains
organic species prior to oxidation (33). Carbon in the form of an organic may be observed
as CHx species (34).
At this point it is important to consider the SIMS spectra for the two types of
oxides (Fig. 5.9 and 5.10), which result in different residue island size and surface
densities. Carbon concentrations in the two types of oxides measured 2.81x10 12 and
4.60x1012 atoms/cm 2, for the RCA and UV-ozone oxides respectively. It is observed that
the carbon contamination in both types of oxides is comparable. Nitrogen concentrations
in the two types of oxides measured 1.79x10 13 and 2.50x10 11 atoms/cm 2, for the RCA and
UV-ozone oxides respectively.
A significant difference in nitrogen contamination is
observed between the two oxides. Vapor etching an RCA chemical oxide results in larger
residue islands and a higher density of the surface residue compared to vapor etching a
UV-ozone oxide. Correlating the AFM results with the SIMS results, we suggest that
103
nitrogen contamination in the oxide is the component responsible for residue island
formation.
SIMS spectra of the vapor cleaned surface and the HF dipped surface
displayed a difference in the amount of nitrogen contamination on the Si surface (Fig.
5.11-5.12). The measured concentration of nitrogen for the HF vapor cleaned surface is
1.46x1012 atoms/cm 2 compared to 4.15x1011 atoms/cm 2 for an HF dipped surface. With
this model that the residue islands consist of nitrogen, the HF vapor cleaned surface
should and did exhibit a larger surface concentration of nitrogen. It is noticed that more
nitrogen is measured for the HF dipped surface compared to the UV-ozone oxide. We
suggest that exposure of the HF dipped surface to ambient conditions increased the
amount of nitrogen on the Si surface. It is not clear why a reduction in nitrogen is
observed for the vapor cleaned surface compared to the concentration in the RCA
chemical oxide. This might suggest that not all of the nitrogen in the oxide contributes to
surface residue. It is also observed in the SIMS traces, that the nitrogen trace is fairly
broad for the HF vapor cleaned surface. This may indicate that SIMS was analyzing
macroscopic features on the Si surface, i.e. residue islands.
From LFM and SIMS data, we propose that the chemical composition of the
residue islands consists of nitrogen and silicon. Since nitrogen in the SiO
2
microstructure
has a coordination number of 3, we will assume that, on average, the impurity complexes
have a N:Si ratio of 1:3. Given the proposed chemical composition for the residue islands,
we will consider possible interactions between nonvolatile impurities (N-Si complexes)
and the adsorbed molecular layer of methanol. In the adsorbed layer we may assume that
there is initially only N-Si molecular complexes and alcohol molecules (Fig. 5.14). In the
104
condensed state, the OH bonds are continuously forming and breaking hydrogen bonds
between molecules (19,35). When an OH radical comes near an electronegative atom
such as N, O, or F it will tend to form a hydrogen bond (H-bond) with available lone pair
electrons (non-bonded electrons) (23) (Fig. 5.15). The hydrogen bond then provides a
possible molecular interaction between an alcohol molecule and the electronegative
element in the nonvolatile impurity complex (N).
If a lone pair of electrons is not
available, then H-bonding is not favorable. The proposed bond structure of nitrogen in
SiO2 does leave nitrogen with a pair of non-bonded electrons.
Given the above considerations, the following suggests a possible mechanism to
explain the residue island formation. First, we assume that a condensed layer of alcohol
remains on the Si surface after the SiO 2 film is etched. Next, we describe a bonding
energy (EH-Bond) between an alcohol molecule and an N-Si impurity complex. This is
manifested by the formation of a hydrogen bond. We then assume that the hydrogen
bonding provides a means for the impurity complex to diffuse in the condensed alcohol
layer. Diffusion in the condensed film allows impurities to interact with one another (Fig.
5.16a). This initiates a nucleation process, and complexing with other N-Si impurities,
which leads to island growth (Fig. 5.16b). If the impurity-impurity bonding were not
favorable, then impurities would simply diffuse, but not combine to nucleate larger surface
residue. As the impurity complex continues to grow it reaches a size, such that growth in
the vertical directions slows. At the same time, growth in the lateral direction may
continue (Fig. 5.16c). Considering the difference in island size for vapor etching at 5 Torr
compared to etching at higher pressure (25 and 50 Torr), it may be suggested that the
105
vertical size of a residue island is dependent upon the thickness of the condensed layer of
alcohol. At some point the larger impurity complex comes into contact with the Si
surface, and bonds to the surface. The growth rate of the impurity complex reduces as the
number of available N-Si impurities decreases, at which point the residue island size is
stable (Fig. 5.16d). It is possible that some methanol molecules remain as a part of the
residue island after the condensed film desorbs. This would be due to the H-bond between
the methanol molecule and the N element of the impurity complex.
Since the residue islands are not observed for partial removal of SiO 2, it may be
assumed that the impurities cannot diffuse and nucleate. In this case, it is suggested that
one or more of the Si atoms, bonded to the N atom, is still covalently bonded in the SiO 2
microstructure. Therefore the N-Si impurity will not diffuse until all covalent bonds to the
surface are broken.
In the case of vapor etching with HF/H 2O vapor chemistries, it is suggested that
the H2O film on the hydrophobic surface evaporates before diffusion and nucleation can
occur.
106
5.6
Summary
Surface residue islands were observed and characterized using AFM, LFM, and
SIMS. Residue island nucleation is attributed to adsorbed alcohol layers on the Si surface
and molecular interactions between alcohol molecules and nonvolatile impurity complexes.
Nitrogen contamination in the oxide is suggested to be the impurity, which leads to the
formation of surface residue islands. It is suggested that the size of the residue islands is
dependent upon the impurity concentration that is available and possibly dependent upon
the thickness of the adsorbed alcohol layer. Whereas, it appears that residue density is
only dependent upon the N impurity concentration in the oxide. We suggest that nitrogensilicon complexes are the composition of the residue islands. Our proposed mechanism
for residue island nucleation is made up of 4 steps: 1) formation of an adsorbed layer of
alcohol on the Si surface, 2) hydrogen bonding between N-Si impurity complexes and
alcohol molecules, 3) diffusion and interaction of impurity complexes, 4) nucleation and
growth of residue islands.
Nucleation on partially etched SiO 2 is not observed. It is suggested the residue
formation cannot occur on the SiO 2 surface, because impurity diffusion is restricted. If
impurity diffusion and subsequent interaction does not occur, then residue island
nucleation does not occur.
Further investigation is necessary to uncover ways to
manipulate the vapor cleaning process such that an adsorbed layer of alcohol does not
form on the Si surface after oxide removal.
107
5.7
Acknowledgements
This work has been partially supported by the National Science Foundation
Engineering Research Centers Program through the Center for Advanced Electronic
Materials Processing (Grant CDR 8721505). Authors would like to thank J. Christman
for helpful discussions with the AFM ; Evans East for SIMS analysis. We would also like
to acknowledge Genus Corp.
108
References
1. W. Kern and D. Puotinen, “Cleaning Solutions Based on Hydrogen Peroxide for Use
in Silicon Semiconductor Technology,” RCA review, Vol. 31, 1970 pp. 187-206.
2. “Overview and Evolution of Semiconductor Wafer Contamination and Cleaning
Technology,” W. Kern, in Handbook of Semiconductor Wafer Cleaning
Technology, Edited by W. Kern (Noyes Publications 1993) Chapter 1.
3. “Wet Chemical Cleaning and Surface Preparation of Si,” M.M. Heyns and R.J.
Nemanich, in Properties of Crystalline Silicon, Edited by R. Hull (IEE Publishing &
Information Services 1999) Chapter 5.6.
4. T. Hattori, “Trends in Wafer Cleaning Technology,” J. Electrochem. Soc. Conf. Proc.,
1994 pp. 13-18.
5. “Ultraviolet-Ozone Cleaning of Semiconductor Surfaces,” J.R. Vig, in Handbook of
Semiconductor Wafer Cleaning Technology, Edited by W. Kern (Noyes
Publications 1993) Chapter 6.
6. J. Ruzyllo, A.M. Hoff, D.C. Frystak, and S.D. Hossain, “Electrical Evaluation of Wet
and Dry Cleaning Procedures for Silicon Device Fabrication,” J. Electrochem. Soc.,
Vol. 136, No. 5, May 1989 pp.1474-1476
7. A. Bayoumi, A. Fischer- Colbrie, R. Parker, M. Cox, and W. Greene, “Investigation of
the Need for Alternative Cleaning Chemistries for 30 Å Gate Oxides,” Mat. Res. Soc.
Symp. Proc., Vol. 477, 1997 pp. 247-252.
109
8. Private communication with Marc Heyns, IMEC, Belgium.
9. R. DeJule, “Trends in Wafer Cleaning,” Semiconductor International, August 1998 pp.
64-68.
10. P. Singer, “The Thinking Behind Today’s Cluster Tools,” Semiconductor
International, August 1993 pp. 46-51.
11. “HF Vapor Cleaning of Oxide on c-Si,” R.J. Carter and R.J. Nemanich, in Properties
of Crystalline Silicon, Edited by R. Hull (IEE Publishing & Information Services
1999) Chapter 5.7.
12. “Vapor Phase Wafer Cleaning Technology,” B.E. Deal and C.R. Helms, in Handbook
of Semiconductor Wafer Cleaning Technology, Edited by W. Kern (Noyes
Publications 1993) Chapter 7.
13. B. Witowski, J. Chacon, and V. Menon, “Characterization of an Anhydrous HF PreGate Oxidation Etching Process,” J. Electrochem. Soc. Conf. Proc., 1992 pp. 372308.
14. Y. Ma, M.L. Green, L.C. Feldman, J. Sapjeta, K.J. Hanson, and T.W. Weidman,
“Vapor Phase SiO 2 Etching and Metallic Contamination Removal in an Integrated
Cluster System,” J. Vac. Sci. Technol. B, Vol. 13, No. 4, Jul/Aug 1995 pp. 180-65.
15. A. Izumi, T. Matsuka, T. Takeuchi, and A. Yamano, “A New Cleaning Method by
Using Anhydrous HF/CH 3OH Vapor System,” J. Electrochem. Soc. Conf. Proc., 1992
pp. 260-66.
110
16. J.W. Butterbaugh, “Surface Contamination Control Using Integrated Cleaning,”
Semiconductor International, June 1998 pp. 173-176.
17. K. Torek, J. Ruzyllo, R. Grant, and R. Novak, “Reduced Pressure Etching of Thermal
Oxides in Anhydrous HF/Alcoholic Gas Mixtures,” J. Electrochem. Soc., Vol. 142,
No. 4, April 1995 pp. 1322-26.
18. CRC Handbook of Chemistry and Physics, Edited by R.C Weast and M.J. Astle,
(CRC Press, Inc. 1977) pp. D231-233, D241-243.
19. Chemistry, Olmsted and Williams, ( Mosby-Year Book, Inc. 1994) Chapter 10 pp.
438-441.
20. Y. Ma, M.L. Green, K. Torek, J. Ruzyllo, R. Opila, K. Konstadinidis, D. Siconolfi,
and D. Brasen, “In Situ Vapor Phase Pregate Oxide Cleaning and Its Effects on MetalOxide-Semiconductor Device Characteristics,” J. Electrochem. Soc., Vol. 142, No. 6,
Nov. 1995, pp. L217-219.
21. R.J. Carter, E.J. Bergman, D.R. Lee, J. Owyang, and R.J. Nemanich, “AFM Analysis
of HF Vapor Cleaned SiO 2 Surfaces,” Mat. Res. Soc. Symp. Proc. Vol. 167, 1997 pp.
481-486.
22. Advance Inorganic Chemistry, Cotton and Wilkinson, ( Interscience Publishers,
1972) Chapter 5 pp. 149-162.
23. Chemistry, Olmsted and Williams, ( Mosby-Year Book, Inc. 1994) Chapter 10 pp.
442-445.
111
24. J.M. de Larios and J.O. Borland, “Selective Etching of Native Oxide Using Vapor HF
Processing,” J. Electrochem. Soc. Conf. Proc., 1994 pp. 77-354.
25. R. McIntosh, T. Kuan, and E. Defresart, “Hydrogen Fluoride Vapor Etching for PreEpi Silicon Surface Preparation,” Journal of Electronic Materials, Vol. 21, No. 1,
1992 pp. 57-60.
26. “Ultratrace Impurity Analysis of Silicon Surfaces by SIMS and TXRF Methods,” R.S.
Hockett, in Handbook of Semiconductor Wafer Cleaning Technology, Edited by
W. Kern (Noyes Publications 1993) Chapter 12.
27. R. Etemadi, C. Godet, J. Perrin, J.E. Bouree, B. Drevillon, and C. Clerc, “Hydrogen
Incorporation in Dual-Mode PECVD Amorphous Silicon Oxide Thin Films,” Surface
and Coatings Technology, Vol. 80, No. 1-2, March 1996 pp. 8-12.
28. J.M. de Larios, C.R. Helms, D.B. Kao, and B.E. Deal, “Effect of Silicon Surface
Cleaning Procedures on Oxidation Kinetics and Surface Chemistry,” Applied Surface
Science, Vol. 30, No. 1-4, October 1987 pp. 17-24.
29. P. Lange, H. Boness, and M. Hendriks, “Oxynitridation of Silicon and Postnitridation
of Thermal Silicon Oxide in N 2O in a Vertical Furnace,” J. Electrochem. Soc., Vol.
144, No. 10, October 1997 pp. 3650-3657.
30. B.H. Vromen, “Nitrogen-Silicon Reaction and Its Influence on the Dielectric Strength
of Thermal Silicon Dioxide,” Applied Physics Letters, Vol. 27, No. 3, August 1975
pp. 152-154.
31. M. Wong, M.M. Moslehi, and D.W. Reed, “Characterization of Wafer Cleaning and
Oxide Etching Using Vapor-Phase Hydrogen Fluoride,” J. Electrochem. Soc., Vol.
138, No. 6, June 1991 pp. 1799-1802.
112
32. W.B. Fowler and A.H. Edwards, “Theory of Impurity Interactions in Silicon Dioxide,”
Materials Science Forum, Vols 59-91 (1997) pp. 10-36.
33. M. Tsuchiaki, R.J. Kvitek, C. Parks, R.J. Murphy, T. Ohiwa, and T. Watanabe,
“Impact of Reactive Ion Etching Induced Carbon Contamination on Oxidation of
Silicon,” J. Electrochem. Soc., Vol. 143, No. 7, July 1996 pp. 2378-2387.
34. Organic Chemistry , F.C. Whitmore, (D. Van Nostrand Company, Inc., 1951).
35. Private communication with Joan Carter, Durham Technical Community College,
Durham, NC.
113
Process
Parameters
50 Torr, 5 min
Residue Density
(island #/4 µm2)
100
Residue Dimensions
(lateral/height) Ave.
40 nm / 6.9 nm
Range of
Island Height
3.5-8.5 nm
RMS
Roughness
0.89 nm
50 Torr, 3 min
118
40 nm / 6.5 nm
4.0-9.0 nm
0.93 nm
50 Torr, 1 min
92
40 nm / 6.1 nm
4.5-8.5 nm
0.84 nm
25 Torr, 5 min
90
45 nm / 7.2 nm
4.0-12.0 nm
1.1 nm
25 Torr, 3 min
99
40 nm / 6.3 nm
4.0-9.5 nm
0.99 nm
25 Torr, 1 min
126
35 nm / 5.9 nm
2.5-8.2 nm
0.69 nm
5 Torr, 5 min
78
40 nm / 6 nm
2.5-8.0 nm
0.47 nm
5 Torr, 3 min
75
40 nm / 4.6 nm
2.0-6.5 nm
0.58 nm
5 Torr, 1 min
76
40 nm / 4 nm
2.0-6.5 nm
0.57 nm
Table 5.1: Process parameters, residue island density, average residue dimensions, and
RMS values for HF vapor etched RCA chemical oxides.
Process
Parameters
50 Torr, 5 min
Residue Density
(island #/4 µm2)
13
Residue Dimensions
(lateral/height) Ave.
30 nm / 3.0 nm
Range of
Island Height
2.5-4.2 nm
RMS
Roughness
0.14 nm
50 Torr, 3 min
12
30 nm / 3.0 nm
2.4-4.0 nm
0.18 nm
50 Torr, 2 min
15
30 nm / 3.3 nm
2.0-5.0 nm
0.17 nm
25 Torr, 5 min
13
30 nm / 3.9 nm
2.5-5.0 nm
0.21 nm
25 Torr, 3 min
18
30 nm / 3.1 nm
2.3-4.2 nm
0.15 nm
25 Torr, 2 min
14
25 nm / 3.7 nm
1.6-6.4 nm
0.21 nm
Table 5.2: Process parameters, residue island density, average residue dimensions, and
RMS values for HF vapor etched UV-ozone oxides.
114
a)
b)
c)
Figure 5.1: AFM images of HF vapor etched RCA chemical oxides at 50 Torr for a) 1
min., b) 3 min., and c) 5 min.
115
a)
b)
c)
Figure 5.2: AFM images of HF vapor etched RCA chemical oxides at 25 Torr for a) 1
min., b) 3 min., and c) 5 min.
116
a)
b)
c)
Figure 5.3: AFM images of HF vapor etched RCA chemical oxides at 5 Torr for a) 1 min.,
b) 3 min., and c) 5 min.
117
a)
b)
c)
Figure 5.4: AFM images of HF vapor etched UV-ozone oxides at 50 Torr for a) 2 min., b)
3 min., and c) 5 min.
118
a)
b)
c)
Figure 5.5: AFM images of HF vapor etched UV-ozone oxides at 25 Torr for a) 2 min., b)
3 min., and c) 5 min.
119
a)
~ 6-7 nm
~ 40 nm
~ 3-4 nm
b)
~ 30 nm
Figure 5.6: Schematic of residue islands displaying relative lateral dimension and height as
a result of vapor etching a) RCA chemical oxide and b) UV-ozone oxide.
120
a)
b)
Figure 5.7: AFM images of a) vapor etched RCA chemical oxide and b) vapor etched
UV/ozone oxide.
121
a)
b)
c)
d)
Figure 5.8: a) AFM image of vapor etched RCA chemical oxide and b) corresponding
LFM image of the vapor etched surface. c) AFM image of oxidized vapor etched surface
and d) corresponding LFM image of oxidized vapor etched surface.
122
Figure 5.9: SIMS spectra of O, C, F, and N concentrations for a RCA chemical oxide.
123
Figure 5.10: SIMS spectra of O, C, F, and N concentrations for a UV-ozone oxide.
124
Figure 5.11: SIMS spectra of O, C, F, and N concentrations for a HF vapor cleaned
surface.
125
Figure 5.12: SIMS spectra of O, C, F, and N concentrations for a HF dipped surface.
126
N-OH defect pair
Silicon
Oxygen
Nitrogen
Hydrogen
Passivation Atom
Figure 5.13: Diagram of nitrogen defect and OH defect in SiO 2 microstructure.
127
Alcohol
Molecule
Impurity
Complex
HO
HO
N HO
HO
HO
N
HO
HO
N
HO
HO
HO
HO
N
Condensed
Alcohol
Layer
Si
Figure 5.14: Schematic displaying adsorbed layer of alcohol molecules on Si surface and
non-volatile impurity complexes on surface.
128
Lone Pair
Electrons
HO
N
Alcohol
Molecule
HO
N
Hydrogen
Bonding
Figure 5.15: Schematic illustrating hydrogen bonding between alcohol molecule and nonvolatile impurity complex.
129
a)
N
HO
OH
HO
N
HO
N
N
Si
b)
HO
N
N
N
N N
OH
N
Si
c)
HO
N
N NNN N
N N
N
N
N N NN
OH
N
Si
d)
N
N
N
N
N N
N N
N
N
N N
N
N N
N N N N
N N N
~4-8 nm
~30-45 nm
Figure 5.16: a) Illustration of impurity complex diffusion. b) Illustration of initial residue
island nucleation and growth. c) Lateral growth of residue island. d) Stable residue island.
130
„I don't have any solution but I certainly admire the problem“
Ashleigh Brilliant
131
6.0 HF Vapor Phase Cleaning Processes and Oxide Preparation
Techniques for Surface Residue Suppression
Richard J. Carter, John R. Hauser, and Robert J. Nemanich
6.1
Abstract
In this study, UV-ozone and RCA chemical oxides on Si were etched via
anhydrous HF/methanol vapor phase chemistries. Two vapor process recipes were used
to etch oxidized Si surfaces: 1) all-gas process and 2) 2-step process. The all-gas process
exposes the wafer to HF and methanol gas flows at the same time. The 2-step process
exposes the surface to a methanol gas flow prior to exposure to an HF gas flow.
Secondary ion mass spectroscopy (SIMS) was employed to measure the Si surface
impurity levels as a result of the two different etch processes. Both processes showed
comparable impurity levels. Atomic Force Microscopy (AFM) was used to characterize
the surface morphology. Surface residue islands were identified as a result of vapor
etching UV-ozone oxides with the all-gas process while surface residue islands were not
observed after vapor etching with the 2-step process. Residue islands were observed as a
result of vapor etching RCA chemical oxides with either the all-gas or the 2-step vapor
process. An anneal step prior to the 2-step process was used to try to suppress residue
nucleation during the etching of chemical oxides. Annealing the chemical oxide and
etching with the 2-step process at 25 Torr significantly reduced residue island formation.
Chemical oxides were grown on Si surfaces with varying recipes of the RCA clean.
Vapor etching of the oxides was performed with the all-gas process. Residue islands were
132
not observed as a result of vapor etching chemical oxides grown with dilute SC-1 (50:1:1)
or SC-2 solutions.
These results imply that the SC-1 chemical solution
(H2O:NH4OH:H2O2) may be incorporating impurities in the RCA chemical oxide. These
results confirm that nitrogen is the impurity that leads to the formation of the residue
islands as a result of vapor phase cleaning. Reduction of nitrogen contamination in the
passivating oxide layer significantly reduces residue island formation.
Wet chemical, UV-oxidation, and thermal approaches were explored to remove
surface residue. Performing a 30 sec HF dip after vapor phase cleaning results in a
roughened, residue-free surface. Rinsing vapor cleaned surfaces with DI H 2O reduces
residue height, but did not appear to affect residue density. UV-ozone exposure of a vapor
cleaned surface displayed evidence of a possible reduction in residue size, but density did
not appeared to be affected. Thermal treatments were unfortunately inconclusive.
133
6.2
Introduction
This chapter investigates two different paths to suppress res idue island formation
as a result of HF vapor phase cleaning with anhydrous HF/methanol chemistries: 1) in situ
residue suppression during oxide etching and 2) minimized N-impurity incorporation
during wet chemical oxidation. The in situ residue suppression approach may also provide
further understanding of residue island formation.
Studying the effects of surface
preparation on residue island formation may lead to a preparation chemistry that does not
result in surface residue. Possible residue removal techniques are also explored.
Surface residue islands as a result of vapor phase cleaning with HF/alcohol vapor
chemistries have been observed with atomic force microscopy (AFM) (1-2). Residue
island formation is initiated by the presence of an adsorbed layer of alcohol vapor on the Si
surface. An adsorbed alcohol layer allows interactions between alcohol molecules and
nonvolatile impurity complexes. These molecular interactions are manifested through the
formation of hydrogen bonds between the alcohol molecules and the electronegative
element of the impurities. Nitrogen impurities in the SiO
2
microstructure have been
suggested as the impurity responsible for interactions leading to surface residue formation.
Nitrogen incorporation into the SiO 2 microstructure is feasible in the form of N and OH or
N and F defect pairs (3), as well as N only. The nitrogen atom is bonded to three Si atoms
and the OH or F is bonded to a single Si atom (Fig. 4.8). It is suggested that the residue
islands are composed of nitrogen-silicon complexes. It has not been determined whether
134
the alcohol molecules remain bonded to the N-Si islands or if the alcohol molecules desorb
from the surface as the adsorbed layer evaporates. In Chapter 5, a model was proposed to
explain the formation of the residue islands. The proposed mechanism for residue island
nucleation is made up of 4 steps: 1) formation of an adsorbed layer of alcohol on the Si
surface, 2) hydrogen bonding between N-Si impurity complexes and alcohol molecules, 3)
diffusion and interaction of the impurities, 4) nucleation and growth of the residue islands.
Given the model for residue formation we consider three possible methods to
reduce residue island formation on Si surfaces: 1) suppression of surface residue islands
may be achieved if impurity diffusion is prevented; 2) reducing the nitrogen contamination
in the passivating oxide may minimize residue islands; 3)
islands to achieve residue-free surfaces.
ex situ removal of the residue
The first approach is to suppress impurity
diffusion in the adsorbed alcohol layer. This may be achieved by preventing the formation
of an adsorbed layer of alcohol on the Si surface. HF vapor phase cleaning is observed in
three different etch regimes: 1) the condensed phase, 2) the enhanced adsorption phase,
and 3) the gas phase (4-6). In the condensed phase, a stable aqueous film forms on the
oxide surface. In the enhanced adsorption phase, pressures are reduced such that the
surface is characterized as greater than monolayer adsorption. In the gas phase regime,
the pressures are further reduced such that the surface is characterized as submonolayer
coverage. In order for complete etching of a passivating oxide layer, in a reasonable
amount of time, vapor phase cleaning must occur in either the condensed phase or the
enhanced adsorption phase (5). However, as the last monolayer of SiO 2 is being etched,
the partial pressure of methanol may be reduced such that methanol-Si surface interactions
135
are characterized by gas-solid interactions, while still maintaining a condensed HF-H
2
O
layer. It is suggested that by reducing the surface coverage of methanol as the oxide is
removed, residue island nucleation will be suppressed. This is due to the fact that an
adsorbed layer of methanol will not be formed on the clean Si surface. This approach was
inspired by a process sequence demonstrated by Froeschle et al (7). In their process, the
methanol vapor, in an N 2 carrier gas, is delivered at a chamber pressure of 150 Torr and
then the methanol flow is shut off. The system is then pumped down to 40 Torr and AHF
gas is introduced. In this procedure, methanol is simply used to initiate HF vapor phase
etching and the generated H 2O etch product allows the etching process to continue.
However, vapor cleaning with HF/H2O chemistries leads to reactions of SiF 4 with HF and
H2O to form non-volatile particles (8-9).
Therefore, their approach does permit
precipitation of particles, since the etching is analogous to the HF/H 2O chemistry. In our
proposed process, the evacuation of the methanol vapor does not occur until the AHF gas
flow is turned on. Therefore, methanol vapor is still significantly interacting with the H
2
O
generated during the oxide etching process.
The second alternative to reduce residue island formation is to decrease the
amount of nitrogen contamination incorporated into the SiO
2
passivating layer. This is
achieved by using wet chemistries with minimal amounts of nitrogen in the aqueous
solution. The SC-1 solution in the RCA chemical sequence consists of H 2O, H2O2, and
NH4OH (10). It is suggested that NH4OH incorporates nitrogen impurities into the SiO 2
microstructure as the oxide layer is grown. Performing an HF dip between the SC-1 and
SC-2 solutions should remove the chemical oxide that forms in the SC-1 solution and may
136
reduce nitrogen contamination. This would result in the SC-2 chemistry growing the
protective oxide layer without the N containing oxide after the SC-1 step. Another
alternative is to employ a dilute SC-1 chemistry (50:1:1, H 2O:NH4OH:H2O2). Dilute RCA
chemistries are becoming more common as replacements to the original concentrations of
the RCA chemistry (5:1:1) (11-12). Compared to the standard SC-1 solution, dilute SC-1
chemistries have shown comparable removal of organic contamination and particles (12).
In addition, dilute chemistries have the environmental advantage of reducing the amount
of chemical use. The use of a dilute SC-1 chemistry may reduce the amount of nitrogen
contamination incorporated into the SiO 2 layer, while still removing organic and particle
contamination.
A third alternative would be to employ a separate residue removal process
following HF/methanol vapor phase cleaning. A removal process could be a physical or a
chemical process (or a combination) to liberate the residue from the surface. Possible
approaches could be temperature and/or oxidation treatments of the surface, plasma or
sputtering techniques, or wet chemical etching of the surface residue. Such a process
must avoid recontaminating the surface or damaging or roughening the surface.
In this study we investigate vapor phase cleaning employing anhydrous
HF/methanol vapor phase chemistries for the removal of RCA chemical and UV-Ozone
oxides. Two different approaches are used to suppress or reduce the formation of the
surface residue. We observe differences in the size and density of the surface residue by
manipulating the vapor cleaning process or by changing the passivating oxide preparation
chemistry. We also explore possible surface residue removal techniques. Atomic force
137
microscopy is used to observe and characterize surface morphology. Secondary ion mass
spectroscopy is employed to characterize the surface chemistry of UV-ozone oxides and
the vapor cleaned surfaces.
6.3 Experimental
In this study 4-inch diameter n and p-type Si (100) wafers were used. Passivating
oxides were grown on the substrates prior to the vapor phase cleaning process. The
passivating oxides were grown by RCA chemical cleaning or UV-ozone exposure. In
order to remove the native oxide, out of the box wafers experienced a 2% HF dip
followed by a 2 min DI (de-ionized water) rinse prior to passivating oxide formation.
RCA chemical cleaning and UV-Ozone treatment grew approximately 1.5 nm thick
oxides, as measured by ellipsometry. The RCA chemical treatment was performed using
the two standard steps: 1) SC-1 (5:1:1 H2O:NH4OH:H2O2 at 70 °C) and 2) SC-2 (5:1:1
H2O:HCl:H2O2 at 70 °C). Each step consisted of a 5 min dip in each chemical followed by
a 5 min DI rinse. The UV-ozone treatment consisted of a 5 min exposure of the Si surface
to UV light and ozone gas in a Samco UV & ozone dry stripper, model UV-1. The
control surfaces in this study experienced passivating oxide growth, but received an HF
dip to remove the oxide layer.
Surfaces used to relate residue formation to oxide
properties experienced alternative recipes of the RCA clean.
The control wafer
experienced a standard RCA clean: SC-1 (5:1:1) + SC-2 (5:1:1).
Alternative wet
chemistries used for oxide growth are listed in table 6.1.
138
A
SC-1 Only 5:1:1 (H 2O:NH4OH:H2O2)
B
SC-2 Only 5:1:1 (H 2O:HCl:H2O2)
C
SC-1 + 30 sec HF dip + SC-2
D
Dilute SC-1 (50:1:1)
E
Dilute SC-1 (50:1:1) + SC-2 (5:1:1)
Table 6.1: Modified RCA wet chemical cleaning processes used as alternative recipes for
the formation of passivating oxides on Si surfaces.
Wet chemical treatments were performed in a traditional laminar flow wet chemical hood.
After passivating oxides were grown, the wafers were loaded into a loadlock connected to
a 6-port single wafer processing clustertool (Fig. 1.1b). The loadlock pumped to ~ 5x10 -6
Torr prior to transfer into the waferhandler. The waferhandler base pressure was ~ 6x10
-8
Torr and wafer transfer between chambers occurs at a pressure of ~ 1x10 -6 Torr.
Vapor phase cleaning was accomplished in a system based on an Advantage 2000
system (Fig. 3.2) donated by Genus Corporation. The system has been modified to be
high vacuum compatible and to handle the chemistries employed in this study. The
chamber is a single wafer stainless steel chamber with a SiC dome. The system employs
anhydrous hydrogen fluoride (AHF) delivered from a gas cylinder and methanol vapor
vacuum evaporated from a teflon coated stainless steel sampling cylinder. The AHF gas
flow range is from 0 to 500 sccm and is controlled by a mass flow controller (MFC). The
methanol gas flow range is from 0 to 67 sccm at room temperature and is controlled by an
139
N2 calibrated MFC. The methanol flow calibration, provided by Brooks Instruments, in
an N 2 MFC is 0.667. The vapor pressure of methanol at 25 °C is ~ 100 Torr. In order to
increase methanol flow, the cylinder and gas line may be heated. Nitrogen was used to
establish the desired process pressures and was used to purge the system prior to wafer
transfer. Nitrogen flow range is from 0 to 1 SLM and controlled by an MFC. Process
gases are delivered via a teflon injector into the process chamber. The process pressure is
regulated using a downstream throttle valve and is measured by a baratron gauge. System
base pressure is 2x10 -7 Torr and wafer transfer pressure is typically 3x10 -6 Torr. The
wafer sits horizontally, face up, in the process chamber and etching occurs at ambient
temperature (~ 25 °C) with process pressures ranging from 100 Torr to 0.5 Torr.
In this study, wafers cleaned with the all-gas vapor process were processed at 75,
50, and 25 Torr for 2 minutes. Wafers cleaned with the 2-step vapor process were
processed at 75, 50, and 25 Torr for 2 minutes. The all-gas process exposes the surface to
AHF and methanol gas flows at the same time. The 2-step process exposes the surface to
methanol gas flow for 1 min, and then the surface is exposed to HF gas flow. Nitrogen is
used to establish the process pressure before methanol flow in the 2-step process.
Nitrogen flows along with AHF during etching in both processes.
Some chemical oxides were annealed prior to the 2-step process for 2 minutes at
650 °C. Annealing occurred in a rapid thermal processing system (RTP) connected to the
clustertool (Fig. 1.1b). The module is a 3-zone rapid thermal processing cold-wall system
(13). The chamber pressure during wafer anneal was ~ 8 x 10
-7
Torr.
140
Wafers, which experienced alternative surface preparation techniques, were etched
with the all-gas vapor cleaning process at 25 Torr for 5 minutes.
After the wafers were processed they were transferred out of the cluster-integrated
vapor cleaning system and examined with atomic force microscopy. A Park Scientific
atomic force microscope, in contact mode, was employed to measure surface roughness
and used to characterize the surface morphology. Typical scan sizes used to characterize
the cleaned surfaces were 2 x 2 µm2 with 256 x 256 data points. Scans were performed in
the center and edge of each wafer. The lateral resolution of the AFM is ~ 1-2 nm. The
vertical resolution of the AFM is sub-Å. Silicon nitride tips with a 4.1 N force constant
were used for surface scanning. Long range surface variations were removed from the
images using a 2 nd order polynomial fit. The Root Mean Square (RMS) roughness values
were then calculated for each of the scanned surfaces. Accuracy of the RMS roughness
values is ± 0.05 nm.
To measure the surface chemical concentrations, wafers were prepared for SIMS
analysis. The SIMS analysis was employed for surfaces, which were etched following
UV-Ozone exposure. After oxide etching, the wafers were capped with ~ 500 Å of polySi deposited at 650 ºC in an attached rapid thermal processing system. After capping
wafers were sent to Evans East for SIMS analysis. The samples were analyzed with a PHI
model 6600 secondary ion mass spectrometer using 3 keV cesium primary ion
bombardment and negative ion detection.
spectrometer.
Ions were detected in a quadrupole mass
Interfacial concentrations of O, C, and F were measured.
Data was
obtained in terms of atoms/cm 3 and atoms/cm 2. The overall accuracy of the concentration
141
scales should be within 15-20% and the accuracy of the total depth scales should be within
5-10%.
Several approaches were considered for removal of the residue islands.
Ex situ
removal techniques consisted of a 5 min UV-ozone treatment, a 2 min DI water rinse, or a
30 sec dip in 2% HF followed by a 5 sec immersion in DI water. Vacuum annealing was
also performed for 2 minutes at 650 °C with the chamber pressure at ~ 8 x 10
6.4
-7
Torr.
In Situ Residue Suppression
6.4.1 Results
Two process sequences were used to investigate residue island suppression: 1) allgas process and 2) 2-step process. UV-ozone oxides were vapor etched with the all-gas
process at 25, 50, and 75 Torr for 2 min (Table 6.2). As a result of vapor etching, residue
islands were formed on the Si surface (Fig. 6.1). The observed residue islands varied in
size. The average residue island height and lateral dimension are 3.5 nm and 30 nm,
respectively. The observed density of the residue islands was the same as a result of
processing at different pressures.
The RMS roughness values for the vapor etched
surfaces measured ~ 0.18 nm.
UV-ozone oxides were vapor etched with the 2-step process at 25, 50, and 75
Torr for 2 min (Table 6.2). In order to investigate the effect of etch time on the 2-step
process, an additional UV-ozone oxide was etched at 25 Torr for 5 min. The surface
etched at 25 Torr for 2 min resulted in the formation of small islands on the surface (Fig.
142
6.2a). The average dimensions of the islands are 1.2 nm in height and 20 nm in width.
These islands are significantly smaller than those observed after the all-gas process.
Increasing the etch time of the 2-step process to 5 minutes at 25 Torr did not result in a
surface with the smaller residue islands (Fig. 6.2b). Residue islands were not observed for
oxides etched at 50 or 75 Torr (Fig. 6.2c and 6.2d). The RMS roughness value for the 2
min, 2-step process at 25 Torr is 0.13 nm. The RMS roughness values for the other
surfaces is ~ 0.13 nm.
We also investigated whether similar surface impurity levels are achieved using the
two different processes to etch UV-ozone oxides.
SIMS analysis was employed to
measure concentrations of O, C, and F on the surface of an all-gas vapor cleaned surface
and a 2-step vapor cleaned surface. The oxygen surface concentrations for the vapor
cleaned surfaces are 6.07x10 13 and 8.58x10 13 atoms/cm 2 for the all-gas and 2-step
processes, respectively. The carbon surface concentrations are 6.49x10 13 and 4.36x10 13
atoms/cm 2 for the all-gas and 2-step processes, respectively.
The fluorine surface
concentrations are 3.56x10 11 and 7.75x10 11 atoms/cm 2 for the all-gas and 2-step
processes, respectively.
SIMS analysis shows comparable surface chemistries are
achieved as a result of vapor etching UV-ozone oxides with either the all-gas or the 2-step
process (Fig. 6.3). Oxygen surface concentrations are achieved well below 1 monolayer
(ML) coverage for both vapor cleaning processes, where 1ML is ~ 8 x 10 14 atoms/cm 2.
The 2-step process was further investigated for etching of RCA chemical oxides.
RCA chemical oxides were etched with the all-gas vapor process at 25 and 50 Torr for 2
min (Table 6.3). Residue islands were observed as a result of etching chemical oxides at
143
25 and 50 Torr (Figs. 6.4a and 6.4c). The average residue island height and lateral
dimension are 6.0 nm and ~ 40 nm, respectively. RCA chemical oxides were etched with
the 2-step vapor process at 25 and 50 Torr (Table 6.3). Residue islands were observed
after vapor etching chemical oxides with the 2-step process (Figs. 6.4b and 6.4d). The
average residue island height and lateral width are 6.25 nm and ~ 30 nm, respectively. A
decrease in residue lateral width is observed for oxides etched with the 2-step process.
Although, the formation of residue islands was not suppressed with the 2-step process, a
significant reduction in residue density was observed.
The 2-step process was further investigated for etching RCA chemical oxides by
introducing an annealing step prior to the HF vapor cleaning process. The addition of a
650 °C anneal prior to the 2-step process was used to reduce moisture content in the RCA
chemical oxide. The annealing step was first performed on an RCA chemical oxide prior
to the all-gas vapor process at 50 Torr (Table 6.4). The vapor etched surface resulted in a
significant reduction in residue island density compared to a vapor etched surface without
the annealing step (Figs. 6.5a and 6.5b). As a result of annealing prior to the all-gas
process, the average residue island height and lateral dimension are 6.5 nm and ~ 30 nm,
respectively. Chemical oxides were annealed and then vapor etched with the 2-step
process at 50 and 25 Torr (Table 6.4). Both these surfaces resulted in residue islands
(Figs. 6.5c and 6.5d). The average residue island height and lateral width for the 2-step
process at 50 Torr are 6.3 nm and ~ 30nm, respectively. The dimensions of the residue
islands are comparable to the annealed RCA chemical oxide etched with the all-gas
process. The annealed surfaces etched with the all-gas and the 2-step processes at 50 Torr
144
resulted in a comparable density of residue islands. The average residue island height and
lateral width for the annealed oxide etched with the 2-step process at 25 Torr are 1.7 nm
and ~ 20 nm. Etching the annealed oxide at 25 Torr resulted in a significant decrease in
the height of the residue islands.
6.4.2 Discussion
The 2-step vapor process either reduces or eliminates the formation of residue
islands for vapor etching UV-ozone oxides.
However, the 2-step process does not
significantly affect residue formation for vapor etching RCA chemical oxides.
The
motivation for employing the 2-step process is to reduce the methanol coverage on the
wafer surface as the oxide is etched and particularly when the clean Si surface is exposed.
Moreover, as the last monolayer of oxide is removed, the methanol surface coverage is
reduced such that it will not form an adsorbed layer on the Si surface. Figure 6.6
schematically shows the methanol partial pressure trend and the HF partial pressure trend
as etch time increases for the all-gas and the 2-step vapor processes. For the all-gas
process the methanol and HF flows are turned on simultaneously and remain on for the
duration of the oxide etch. In this case, the partial pressure of methanol is large enough
that an adsorbed layer will remain on the Si surface after the oxide is etched. This results
in the formation of surface residue. For the 2-step process, after the methanol vapor is
turned on, pressure builds up in the reaction chamber and adsorption upon the oxide
surface occurs and reaches a steady state. When the methanol vapor flow is stopped, the
145
HF flow is turned on. Etching of the oxide begins after the introduction of HF into the
system. With increasing etch time, the methanol partial pressure in the reaction chamber
decreases. This leads to a reduction in the amount of methanol coverage on the wafer
surface. When oxide removal is close to complete, the methanol-surface interactions are
already reduced towards gas-solid interactions. This results in the condensed phase on the
oxide transforming from a methanol-rich phase to a water-rich phase. When the Si surface
is exposed, the partial pressure of methanol in the system is reduced such that an adsorbed
layer of alcohol will not be present. As a result, there is no adsorbed layer to allow for NSi impurity complexes to diffusion and interact. Therefore residue island nucleation is
suppressed, and the resultant surface is smooth and residue-free. The AFM images display
that varying the vapor cleaning process will affect residue island formation. While the
SIMS results show that varying the vapor process does not significantly affect the
resultant surface chemistry. This is a significant result, in that the effectiveness in oxide
removal is not dependent upon which vapor cleaning process is employed, but residue
formation is dependent on the process.
The 2-step process does not suppress residue formation in the case of etching
RCA chemical oxides, although a reduction in residue density and lateral dimension is
observed.
Since chemical oxides are hydrous oxides (14), it is suggested that the
additional moisture in the oxide can induce an adsorbed layer of methanol even at a
reduced partial pressure. This could result in an aqueous mixture of methanol and water
as the last few monolayers of oxide are etched away. It may be possible that an adsorbed
layer of water and methanol may be maintained as the Si surface is exposed. This would
146
enable interactions to occur between the N-Si impurities and the adsorbed layer, thus
resulting in residue island formation. It should also be noted that RCA chemical oxides
etch more quickly than UV-ozone oxides (2). This would weigh of some importance in
terms of what the partial pressure of methanol vapor is, as the Si surface is exposed. If the
oxide etches quickly, such that the methanol pressure is enough to form an adsorbed layer,
then molecular interactions will induce impurity diffusion and residue formation.
Annealing the chemical oxides prior to vapor phase cleaning was used to reduce
the moisture content in the oxide layer prior to the 2-step process. Reducing the moisture
content of the oxide is used to prevent the onset of a methanol adsorbed layer at reduced
partial pressures. The reduction in moisture content may also lead to an increased etch
incubation time (4,21,22). The longer etch incubation time could prove useful in that,
when the Si surface is exposed the methanol partial pressure in the system is further
reduced. Annealing a chemical oxide surface prior to the 2-step process at 25 Torr
significantly reduced the size and density of the residue islands. This was not the case for
etching with the 2-step process at 50 Torr. Oxide etching at 50 Torr after annealing
resulted in the larger residue islands. However, a decrease in residue density, as well as
lateral dimension, is observed for the annealed surface compared to the as loaded surface.
The reduction in residue island density may be attributed to a reduction in nitrogen
contamination in the oxide.
By annealing the oxide surface, some of the nitrogen
contamination may have been removed from the chemical oxide.
The desorption of nitrogen from SiO 2 is not very likely since an N atom is bonded
to three Si atoms and the strength of the Si-N bond is ~ 4.55 eV (16). Another possibility
147
is that annealing the oxide changed the bonding configuration of nitrogen in the SiO
2
microstructure. The N-OH defect pair in SiO 2 has demonstrated the ability to change to N
bonded to two Si atoms and the third N bond passivated by the H atom from the OH
defect by overcoming an activation barrier (3). This change occurs due to a Si atom
migration from the N atom to the OH defect. The Si atom bonds with the O atom and the
H atom migrates to the N atom and passivates the dangling bond (Fig. 6.7). This results
in the third Si atom bonding with the O atom of the former OH defect. This results in the
N atom being bonded to two Si atoms and one H atom. This could significantly affect the
surface residue, if the N-Si impurity is reduced in composition by 1/3 in terms of the
number of Si atoms. Instead of a 3:1 Si:N ratio, the impurity composition becomes a
2:1:1 Si:N:H ratio. This could potentially result in a reduction in residue density, as well
as size.
Reduction in residue density is achieved by annealing the chemical oxide prior to
the all-gas process. It is also achieved by employing the 2-step process. It is suggested
that the anneal reduces the moisture content in the oxide, as well as possibly reducing or
restructuring the N bonding in the SiO 2 film. The 2-step process is designed to reduce the
potential of forming a condensed phase of methanol on the Si surface. It is suggested that
etching an annealed chemical oxide with the 2-step process at 25 Torr was successful in
suppressing large residue island formation due to: 1) annealing the chemical oxide prior to
etching and 2) vapor etching with the 2-step process. Whereas, at increased process
pressure (50 Torr), etching annealed chemical oxides with the 2-step process results in
large residue island formation. An increase in chamber pressure may make adsorption of
148
an alcohol layer more favorable when etching chemical oxides. This is not the case for
etching UV-ozone oxides. Even at increased process pressure (50 and 75 Torr) , surface
residue islands were not observed.
6.5 Alternative Oxide Preparation Chemistries
6.5.1 Results
The effect of etching oxides grown with the RCA chemistry and alternative
preparation chemistries is observed (Table 6.5). All of the passivating oxides were etched
with the all-gas process. Residue island formation was observed as a result of etching
RCA chemical oxides and SC-1 oxides at 25 Torr for 5 min (Fig. 6.8). Residue island
dimensions and density are comparable as a result of etching RCA and SC-1 chemical
oxides. The average island height and lateral dimension are ~ 6.0 nm and ~ 40 nm,
respectively. RMS roughness values are comparable as well, ~ 0.75 nm. The RMS
roughness values, excluding surface residue, are ~ 0.25 nm. Residue islands are not
observed as a result of vapor cleaning surfaces (at 25 Torr for 5 min) prepared via dilute
SC-1 (50:1:1), dilute SC-1 + SC-2, SC-2, nor SC-1 + HF dip + SC-2 solutions (Fig. 6.9).
However, minimal amounts of possible residue may be discerned from the AFM image of
the SC-2 vapor etched oxide. The observed surface extrusions are only on the order of
1.0-1.2 nm in height and 10-20 nm in lateral dimension. The RMS roughness values for
these surfaces ranges from 0.11-0.14 nm. These roughness values are comparable to the
HF dipped control surface, ~ 0.11 nm RMS.
149
6.5.2 Discussion
It is evident that the different oxide preparation chemistries have shown a
significant effect on surface residue formation. In Chapter 5, we attributed the formation
of surface residue islands to the presence of nitrogen impurities in the oxides. Similarly,
the differences in surface morphology of the vapor etched RCA and SC-1 oxides
compared to the SC-2 and dilute SC-1 oxides may be attributed to reduced nitrogen
contamination in the passivating oxide layers. The AFM results indicate that the SC-1
chemical solution incorporates N defects into the SiO 2 microstructure as the Si surface is
oxidized. The nitrogen is likely bonded to three silicon atoms in the SiO
2
microstructure.
Nitrogen contamination is not removed with the immersion of the SC-1 oxide into the SC2 solution. This is realized because the SC-2 solution does not etch SiO 2. In contrast, if
an SC-2 oxide were immersed into an SC-1 solution, SiO 2 etching (due to NH4OH) and
regrowth (due to H2O2) is expected to occur (17). In this case the regrown oxide would
likely be contaminated with increased N due to the NH 4OH component of the SC-1
solution. These results indicate that nitrogen contamination in the oxide may be increased
or decreased by varying the concentration of NH 4OH in the SC-1 chemical solution.
The smaller residue islands observed on the vapor etched SC-2 oxide surface may
be due to N contamination in the oxide. However, this is not likely since vapor etching
oxides grown in the dilute SC-1 chemistry do not result in surface residue. The SC-2
chemistry is not designed to remove organic contamination from the Si surface, whereas
150
the SC-1 chemistry is designed to remove organic contamination. Therefore, the residue
may be due to organic contamination, which remained on the Si surface prior to oxide
growth in the SC-2 solution. It is observed that the surface that experienced the SC-1 and
HF dip prior to the SC-2 solution resulted in less residue compared to the SC-2 only
clean. In this case, the surface residue is not due to nitrogen contamination in the oxide,
but may be due to organic contamination.
Manipulation of the RCA chemistry provides options for preparation of the
passivating oxide layers with reduced nitrogen contamination.
An HF dip between the
SC-1 and SC-2 steps results in reduced residue formation. If this is not desirable, then the
employment of a dilute SC-1 solution in place of the standard SC-1 concentration is an
option. Vapor etching dilute SC-1 and dilute RCA chemical oxides resulted in residuefree surfaces. Moreover, the use of dilute RCA chemistries is often employed for the pregate oxidation clean (11,12,18,19). Other alternatives to growing passivating oxide layers
are the use of ozonized H2O rinses (11,20). This type of rinse grows a thin protective
oxide layer, which in all likelihood does not readily incorporate N contamination and
removes organic contamination.
6.6 Residue Removal Techniques
6.6.1 Results
To explore methods of residue removal, residue islands were formed on Si surfaces
and the surfaces were exposed to wet chemical, dry oxidation, and thermal processes
151
(Table 6.5). A chemical oxide surface was vapor cleaned with the all-gas process for 2
minutes at 25 Torr. After vapor phase cleaning, part of the wafer was dipped in DI H 2O
for 2 minutes and another part of the wafer was dipped in 2% HF for 30 seconds. An
AFM image of the surface dipped in DI H 2O is compared to the vapor etched surface in
Fig. 6.10. The original average height and lateral width of the residue islands are 5.85 nm
and ~ 40 nm, respectively, and as a result of the DI rinse the height of the residue islands
is reduced to ~ 3.2 nm. The residue island density and lateral dimension are slightly
reduced by the DI rinse. The RMS roughness value of the vapor etched surface is 0.55
nm. The RMS value for the DI rinsed surface is 0.2 nm. An AFM of the surface dipped
in 2 % HF is compared to the vapor etched surface in Fig. 6.11. As a result of dipping the
wafer in HF, the residue islands were removed from the surface. This process did result in
a slightly roughened surface compared to the control surface. The RMS value for this
surface was measured to be 0.37 nm.
Dry processes were also investigated to remove residue islands. One vapor etched
surface experienced a 5 min UV-ozone treatment to remove the residue islands. Another
vapor etched surface was vacuum annealed at 650 °C for 2 min to remove the residue
islands. After a 5 min UV-ozone treatment, residue islands are still observed on the vapor
etched surface (Fig. 6.12). However, since an oxide is grown on this surface, it is not
obvious if UV-ozone exposure has a significant affect on the residue islands. Although,
residue island height is decreased to ~ 2.5 nm. The RMS values for the vapor cleaned
surface and the oxidized surface are 0.5 and 0.23 nm, respectively. A vapor etched
chemical oxide surface was annealed at 650 °C for 2 minutes. Unfortunately, the AFM
152
image resulted in tip imaging over the entire surface. Therefore, the affect of thermal
annealing of residue islands is inconclusive.
6.6.2 Discussion
It is not evident why the DI rinse resulted in a reduction of the height of the
residue islands. It is possible that the alcohol molecules bonded to the N-Si impurities
during diffusion remain part of the residue after the surface is vapor cleaned. The strength
of a hydrogen bond is usually < 0.5 eV (16), but this type of bonding may be strong
enough to be maintained in the vapor phase environment. However, the alcohol molecules
may be susceptible to attack during a DI rinse. Since alcohol molecules have a strong
affinity towards water, bonding with the water molecules would be more favorable than to
remain bonded to the N-Si residue. Thus the removal of alcohol molecules could explain
the reduction in residue height due to the DI rinse. Island height is reduced by ~ 2.6 nm.
Surface residue removal was achieved by performing an HF dip following vapor
phase cleaning. However, this technique seems to result in a roughened Si surface. This
result raises the question of why the HF vapor cannot remove the surface residue, whereas
the aqueous HF process can. This may be understood in one of two ways. First, it has
been shown that etching of Si-N materials in the vapor phase occurs very slowly compared
to etching of SiO2 materials (21). The SiO 2 etches about 50 times faster in the vapor
phase compared to the Si-N materials. Moreover, etching of Si-N materials occurs more
readily in an aqueous solution than in the vapor phase. The difference in etch rates in the
153
vapor phase is attributed to differences in the etch incubation time (4,21,22). Second, it is
possible that only the bonds between the Si surface and the residue are broken in the
aqueous HF solution. This means that aqueous HF did not etch the residue islands, but
etched the interface between the Si surface and the residue. It is possible that etching of
the interface occurs in the vapor phase. However, since the residue is non-volatile, the
residue remains on the surfaces and possibly reattaches. If the residue does not remain
bonded to the surface, then a DI rinse would successfully remove the surface residue. It
should also be considered that since a DI rinse does result in a reduction in residue island
height, that immersion in an HF bath would also reduce the height of the islands regardless
of the HF concentration in the solution. Therefore dipping the surface in HF/H 2O would
result in a reduction in residue island size by the H 2O and then, or along with, etching of
the N-Si island by the HF.
UV-ozone exposure of a vapor cleaned surface appeared to reduce the size of the
residue islands, but the process did not appear to affect residue density. The UV-ozone
exposure of the Si surface results in ~ 1.5 nm thick oxide. The average height of the
residue islands after UV-ozone exposure is about 2.5 nm. Added together this is a height
of 4.0 nm which is significantly less than the 6.0 nm typical of a residue island. The UVozone appears to lead to a reduction in residue height of 2.0 nm. It is possible that the
UV-ozone treatment was able to release some volatile Si-O species due to oxidation of the
Si atoms in the Si-N residue. However, if the residue islands do include alcohol molecules
bonded to the Si-N islands, then the alcohol molecules would be susceptible to attack and
this would result in a decrease in island height.
The UV-ozone treatment has
154
demonstrated a strong ability to remove organic contamination from Si surfaces (23). The
observed island size reduction is consistent with the result of a DI rinsed surface.
Annealing the surfaces after HF/methanol vapor treatment may potentially affect
the surface residue. If the islands do consist of methanol molecules bonded to the N-Si
islands, the methanol molecules would likely desorb from the surface at increased
temperature. However, annealing at 650 °C would also remove any surface passivation of
H or F from the surface. Therefore, once the surfaces are removed from the protective
vacuum environment, the unpassivated Si-surface is susceptible to any forms of
contamination in the ambient air. We have found that this makes AFM surface scanning
very difficult. AFM scanning of annealed surfaces results in significant amounts of tip
imaging and therefore no real conclusions about the effect on surface residue can be made.
Deposition of a very thin passivating layer (~ 2.0 nm), after annealing, would be necessary
to reliably study these surfaces. However, at this time we can only speculate that the
residue height would be reduced to similar dimensions as achieved via DI rinsing or UVozone treatment.
155
6.7
Summary
Formation of residue islands are observed for HF vapor phase cleaning of Si. It
was found that for etching of UV-ozone oxides, surface residue island formation could be
suppressed by manipulating the vapor cleaning process. By reducing the methanol partial
pressure as the SiO 2 layer is etched from the substrate, residue island formation was
prevented. A reduction in residue island density was observed for the 2-step vapor phase
process applied to etching of RCA chemical oxides. However, the formation of surface
residue was still observed. It is suggested that the moisture content in the chemical oxides
induces an adsorbed layer of methanol at a much lower partial pressure. Annealing
chemical oxides at 650 °C was employed to reduce the moisture content of the oxide. A
significant reduction in the residue island density was observed by annealing chemical
oxides prior to vapor etching with the all-gas process. Annealing chemical oxides prior to
oxide etching may have reduced or restructured the nitrogen contamination in the SiO
2
microstructure. Using a 650 °C anneal prior to the 2-step process at 25 Torr nearly
suppressed residue island formation as a result of vapor etching a chemical oxide. It is
suggested that this was successful due to the reduced process pressure, the reduced
methanol partial pressure, a reduction of moisture in the oxide, and possibly reduced or
restructured N in the oxide. The results of the 2-step process suggest that a transition to
an HF/H2O rich adsorbed layer is necessary for etching the last monolayer of SiO 2, in
order to suppress residue island formation.
156
Different oxide preparation chemistries have shown a significant effect on residue
island formation. It was observed that residue island density and size were comparable
after vapor etching RCA and SC-1 chemical oxides. Vapor etching passivating oxides
grown with an SC-2 solution or a dilute SC-1 solution does not appear to result in surface
residue islands. These results further support the hypothesis that N contamination in SiO
2
is the impurity which leads to residue formation. It is suggested that N contamination is
incorporated into the oxide due to NH4OH in the SC-1 chemistry. Growing wet chemical
oxides in a SC-2, a dilute SC-1, or a dilute RCA chemistry significantly reduces the
amount of N contamination in the oxide. Manipulation of the RCA chemistry or using
other wet chemical alternatives provides options to prepare passivating oxide layers that
will not result in surface residue formation due to HF/methanol vapor phase cleaning.
Residue islands were removed from the Si surface by performing an HF dip after
vapor phase cleaning. This technique seemed to result in a roughened Si surface. It is
suggested that immersion of the surface in aqueous HF enables the bonds between the
residue and the Si surface to be attacked. However, it is more likely that the HF etched
the N-Si islands. Both DI rinsing a vapor cleaned surface and exposing a vapor cleaned
surface to UV-ozone reduced the height of the residue islands from 6.0 nm to ~ 4.0 nm.
From this result it is suggested that methanol molecules remain attached to the N-Si
residue islands after vapor cleaning and contribute to the measured dimensions obtained
with the AFM. The methanol molecules appear to be removed from the N-Si islands due
to DI rinsing or UV-ozone exposure. Removal of the molecules in DI water is understood
by alcohol bonding to the H 2O molecules. While UV-ozone exposure has demonstrated a
157
significant ability to remove organic contamination from Si surfaces. The effect on surface
residue due to annealing is inconclusive.
Results of the residue suppression techniques suggest that nitrogen and moisture
are two oxide properties, which need to be controlled, in order to prevent the formation of
residue islands.
Although in situ residue suppression, alternative oxide preparation
chemistries, and HF dips were successful in reducing surface residue, it has not been
determined which technique is the most preferable approach.
158
6.8
Acknowledgments
This work has been partially supported by the National Science Foundation
Engineering Research Centers Program through the Center for Advanced Electronic
Materials Processing (Grant CDR 8721505). Authors would like to thank J. Christman
for helpful discussions with the AFM; W. Kiether for surface capping and annealing runs;
Evans East for SIMS analysis. We would also like to acknowledge Genus Corp.
159
References
1. R.J. Carter, E.J. Bergman, D.R. Lee, J. Owyang, R.J. Nemanich, “AFM Analysis of
HF Vapor Cleaned SiO 2 Surfaces,” Mat. Res. Soc. Symp. Proc., Vol. 477, (1997) pp.
481-486.
2. R.J. Carter, J.R. Hauser, and R.J. Nemanich, “Surface Residue Nucleation in
Anhydrous HF/Alcohol Vapor Processing of Si Surfaces,” in preparation.
3. W.B. Fowler and A.H. Edwards, “Theory of Impurity Interactions in Silicon Dioxide,”
Materials Science Forum, Vols. 239-241 (1997) pp. 33-36.
4. “HF Vapour Cleaning of Oxide on c-Si,” R.J. Carter and R.J. Nemanich, in Properties
of Crystalline Silicon, Edited by R. Hull (IEE Publishing & Information Services
1999) Chapter 5.7.
5. W.J.C. Vermeulen, L.F.Tz. Kwakman, C.J. Werkhoven, and E.H.A. Granneman, S.
Verhaverbeke and M. Heyns, “A HF Vapour Etch Process for Integration in ClusterTool Processes: Characteristics and Applications,” J. Electrochem. Soc. Conf. Proc.,
1994 pp. 241-252.
6. C.J. Werkhoven, E.H.A. Granneman,
L.F.Tz. Kwakman, M. Hendriks, S.
Verhaverbeke, and M. Heyns, “Cluster-Tool Integrated HF Vapor Etching for Native
Oxide Free Processing,” Mat. Res. Soc. Symp. Proc. Vol. 315, 1993 pp. 211-217.
7. B. Froeschle, L. Deutschmann, A.J. Bauer, and E.P. Burte, “Characterization of Oxide
Etching and Wafer Cleaning Using Vapor-Phase Anhydrous HF and Ozone,” Mat.
Res. Soc. Symp. Proc., Vol. 470, (1997) pp. 237-242.
160
8. Y. Ma, M.L. Green, L.C. Feldman, J. Sapjeta, K.J. Hanson, and T.W. Weidman,
“Vapor Phase SiO 2 Etching and Metallic Contamination Removal in an Integrated
Cluster System,” J. Vac. Sci. Technol. B, Vol. 13, No. 4, Jul/Aug 1995 pp. 180-65.
9. A. Izumi, T. Matsuka, T. Takeuchi, and A. Yamano, “A New Cleaning Method by
Using Anhydrous HF/CH 3OH Vapor System,” J. Electrochem. Soc. Conf. Proc., 1992
pp. 260-66.
10. W. Kern and D. Puotinen, “Cleaning Solutions Based on Hydrogen Peroxide for Use
in Silicon Semiconductor Technology,” RCA review, Vol. 31, 1970 pp. 187-206.
11. M.M. Heyns, P.W. Mertens, J. Ruzyllo, and Maggie Y.M. Lee, “Advanced Wet and
Dry Cleaning Coming Together for Next Generation,” Solid State Technology, March
1999, pp. 37-47.
12. P.J. Resnick, C.L.J. Adkins, P.J. Clews, E.V. Thomas, and N.C. Korbe, “A Study of
Cleaning Performance and Mechanisms in Dilute SC-1 Processing,” Mat. Res. Soc.
Symp. Proc., Vol. 386, (1995) pp. 21.
13. W.J. Kiether, M.J. Fordham, S. Yu, A.J. Silva Neto, K.A. Conrad, J.R. Hauser, F.Y.
Sorrell, and J.J. Wortman, 2 nd International Rapid Thermal Processing Conference,
Monterey CA. Eds. R.B. Fair and B. Lojek, RTP’94, 1994 pp.96-101.
14. “Wet Chemical Cleaning and Surface Preparation of Si,” M.M. Heyns and R.J.
Nemanich, in Properties of Crystalline Silicon, Edited by R. Hull (IEE Publishing &
Information Services 1999) Chapter 5.6.
161
15. R. McIntosh, T. Kuan, and E. Defresart, “Hydrogen Fluoride Vapor Etching for PreEpi Silicon Surface Preparation,” Journal of Electronic Materials, Vol. 21, No. 1,
1992 pp. 57-60.
16. CRC Handbook of Chemistry and Physics, Edited by R.C Weast and M.J. Astle,
(CRC Press, Inc. 1977) pp. F228-233.
17. S. Verhaverbeke, J. Parker, and C. McConnell, “A Quantitative Model of SC-1 Wet
Processing,” 3 rd International Symposium on Ultra Clean Processing of Silicon
Surfaces Proceedings, 1996 pp.153-156.
18. T. Dhayagude, W. Chen, M. Shenasa, D. Nelms, and M. Olesen, “Effect of UltraDilute RCA Cleans on the Integrity of Thin Gate Oxides,” Mat. Res. Soc. Symp.
Proc., Vol. 477, (1997) pp. 217-223.
19. W. Chen, T. Dhayagude, P. Chaparala, E. Demirlioglu, M. Shenasa, T. Bearda, S.
Arnauts, and M. Meuris, “RCA and IMEC/SC2 Clean: Metallic Immunity and Gate
Oxide Integrity,” Mat. Res. Soc. Symp. Proc., Vol. 477, (1997) pp. 225-232.
20. M.M. Heyns, T. Bearda, I. Cornelissen, S. De Gendt, D.M. Knotter, L.M.
Loewenstein, M. Lux, P.W. Mertens, M. Meuris, M. Schaekers, P. Snee, I. Teerlinck,
and R. Vos, “Cost-Effective Cleaning for Advanced Si Processing,” Technical Digest,
IEEE IEDM, (1998) pp. 325-328.
21. M. Wong, M.M. Moslehi, and D.W. Reed, “Characterization of Wafer Cleaning and
Oxide Etching Using Vapor-Phase Hydrogen Fluoride,” J. Electrochem. Soc., Vol.
138, No. 6, June 1991 pp. 1799-1802.
162
22. J.M. de Larios and J.O. Borland, “Selective Etching of Native Oxide Using Vapor HF
Processing,” J. Electrochem. Soc. Conf. Proc., 1994 pp. 347-354.
23. B.S. Krusor, D.K. Biegelsen, R.D. Yingling, and J.R. Abelson, “Ultraviolet-Ozone
Sleaning of Silicon Surfaces Studied by Auger Spectroscopy,” Journal of Vacuum
Science & Technology B (Microelectronics-Processing-and-Phenomena), Vol. 7,
No.1, Jan.-Feb 1989 pp.129-30.
163
Process
Parameters
25 Torr, 2 m
all-gas
50 Torr, 2m
all-gas
75 Torr, 2m
all-gas
25 Torr, 2m
2-step
25 Torr, 5m
2-step
50 Torr, 2m
2-step
75 Torr, 2m
2-step
Residue Density
(island #/4 µm2)
13
Residue Dimensions
(lateral/height) Ave.
30 nm / 3.7 nm
Range of
island height
1.6-6.4 nm
RMS
Roughness
0.21 nm
14
30 nm / 3.0 nm
2.0-5.0 nm
0.17 nm
15
30 nm / 3.5 nm
1.1-5.9 nm
0.17 nm
25
20 nm / 1.2 nm
0.8-1.6 nm
0.12 nm
n/a
n/a
n/a
0.13 nm
n/a
n/a
n/a
0.13 nm
n/a
n/a
n/a
0.12 nm
Table 6.2 Process parameters, residue island density, average residue dimensions, and
RMS values for HF vapor etched UV-ozone oxides.
164
a)
b)
c)
Figure 6.1: AFM images displaying surface residue as a result of etching UV-ozone oxides
with All-gas process for 2 minutes at a) 25 Torr, b) 50 Torr, c) 75 Torr.
165
a)
b)
c)
d)
Figure 6.2: AFM images displaying no surface residue as a result of etching UV-ozone
oxides with 2-step process at a) 25 Torr for 2 min., b) 25 Torr for 5 min., c) 50 Torr for 2
min., d) 75 Torr for 2 min.
166
1.00E+15
1 ML
1.00E+14
1.00E+13
O
C
F
1.00E+12
1.00E+11
1.00E+10
All-Gas Process
2-Step Process
Figure 6.3: Interfacial concentrations of O, C, and F for UV-ozone oxide, HF dipped
surface, All-gas vapor cleaned surface, and 2-step vapor cleaned surface measured by
SIMS.
167
Process
Residue Density
Residue Dimensions
Range of
RMS
Parameters
(island #/4 µm2)
(lateral/height) Ave.
island height
Roughness
25 Torr, 2m
all-gas
25 Torr, 2m
2-step
50 Torr, 2m
all-gas
50 Torr, 2m
2-step
109
35 nm / 6.25 nm
4.0-8.5 nm
0.96 nm
35
30 nm / 6.7 nm
3.0-9.4 nm
0.43 nm
97
40 nm / 5.75 nm
3.5-7.9 nm
0.84 nm
49
30 nm / 5.8 nm
3.6-8.0 nm
0.52 nm
Table 6.3: Process parameters, residue island density, average residue dimensions, residue
height range, and RMS values for HF vapor etched RCA chemical oxides.
Process
Residue Density
Residue Dimensions
Range of
RMS
Parameters
(island #/4 µm2)
(lateral/height) Ave.
island height
Roughness
50 Torr, 2m
all-gas
50 Torr, 2m
anneal + 2m
all-gas
50 Torr, 2m
anneal + 2m
2-step
25 Torr, 2m
anneal + 2m
2-step
97
40 nm / 5.75 nm
3.5-7.9 nm
0.84 nm
41
30 nm / 6.5 nm
4.0-7.5 nm
0.51 nm
49
30 nm / 6.3 nm
3.5-8.6 nm
0.39 nm
12
20 nm / 1.7 nm
1.1-2.1 nm
0.14 nm
Table 6.4: Process parameters, residue island density, average residue dimensions, residue
height range, and RMS values for HF vapor etched RCA chemical oxides.
168
a)
b)
c)
d)
Figure 6.4: AFM images displaying surface residue as a result of etching RCA chemical
oxides with a) all-gas process at 25 Torr for 2 min., b) 2-step process at 25 Torr for 2
min., c) all-gas process at 50 Torr for 2 min., and d) 2-step process at 50 Torr for 2 min.
169
a)
b)
c)
d)
Figure 6.5: AFM images of RCA chemical oxides etched via a) all-gas process at 50 Torr
for 2 min., b) 650 °C anneal + All-gas vapor etch at 50 Torr for 2 min., c) 650 °C anneal +
2-step vapor process at 50 Torr for 2 min., and d) 650 °C anneal + 2-step vapor process at
25 Torr for 2 min.
170
Condensation
HF
Methanol
Few Monolayers
Gas -Solid
Interactions
0
1
2
3
Time (min)
a)
Condensation
HF
Methanol
Few Monolayers
Gas -Solid Interactions
0
b)
1
2
3
Time (min)
Figure 6.6: Schematic of methanol-surface interactions dependence with etch time for a)
all-gas and b) 2-step vapor processes.
171
Silicon
Oxygen
Nitrogen
Hydrogen
Passivation Atom
Figure 6.7: Schematic of Si and H migration due to overcoming relaxation barrier for SiO
microstructure with nitrogen-OH defect pairs.
2
172
Process
Parameters
RCA oxide
Residue Density
(island #/4 µm2)
90
Residue Dimensions
(lateral/height) Ave.
35 nm / 6.1 nm
Range of
island height
4.0-8.0 nm
RMS
Roughness
0.69 nm
SC-1 oxide
80
40 nm / 6.0 nm
4.0-7.9 nm
0.79 nm
SC-2 oxide
38
20 nm / 1.5 nm
1.0-2.0 nm
0.11 nm
SC-1 + HF
dip + SC-2
oxide
34
15 nm / 1.1 nm
0.8-1.4 nm
0.14 nm
D-SC-1
oxide
Dilute RCA
oxide
n/a
n/a
n/a
0.10 nm
n/a
n/a
n/a
0.12 nm
Table 6.5: Process parameters, residue island density, average residue dimensions, residue
height range, and RMS values for chemical oxides vapor etched with the all-gas process at
25 Torr for 5 minutes.
173
(a)
(b)
Figure 6.8: AFM images displaying surface residue as a result of vapor etching (25 Torr, 5
min.) a) RCA chemical oxides, and b) SC1 chemical oxides.
174
a)
b)
c)
d)
Figure 6.9: AFM images displaying surface morphology as a result of vapor etching (25
Torr, 5 min.) chemical oxides grown via a) SC-1 + HF dip + SC-2, b) SC-2, c) dilute SC1, and d) dilute SC-1 + SC-2.
175
Process
Parameters
25 Torr, 2m
all-gas
25 Torr, 2m
all-gas + 2m
DI rinse
25 Torr, 2m
all-gas + 30s
HF dip
25 Torr, 5m
all-gas
25 Torr, 5m
all-gas + 5m
UV/O3
25 Torr, 2m
all-gas + 2m
650 °C
anneal
Residue Density
(island #/4 µm2)
132
Residue Dimensions
(lateral/height) Ave.
40 nm / 5.8 nm
Range of
island height
3.5-8.0 nm
RMS
Roughness
0.55 nm
86
30 nm / 3.2 nm
2.0-4.7 nm
0.21 nm
n/a
n/a
n/a
0.37 nm
70
40 nm / 5.8 nm
3.8-8.2 nm
0.50 nm
52
30 nm / 2.3 nm
1.8-3.0 nm
0.23 nm
Inconclusive
Inconclusive
Inconclusive
Inconclusive
Table 6.6: Process parameters, residue island density, average residue dimensions, residue
height range, and RMS values for chemical oxides vapor etched with the all-gas process
and exposed to either a DI rinse, HF dip, UV-ozone exposure, or thermal anneal.
176
(a)
(b)
Figure 6.10: AFM images of a) vapor etched chemical oxide, and b) vapor etched RCA
chemical oxide + 2 min. DI rinse. (Etch: 25 Torr, 2 min.)
177
(a)
(b)
Figure 6.11: AFM images of a) vapor etched RCA chemical oxide, and b) vapor etched
RCA chemical oxide + 30 sec. 2% HF dip. (Etch: 25 Torr, 2 min.)
178
(a)
(b)
Figure 6.12: AFM images a) vapor etched chemical oxide, and b) vapor etched chemical
oxide + 5 min. UV-ozone exposure. (Etch: 25 Torr, 2 min.)
179
„Even a small idea of substance may be added to and increased“
Charles Fillmore
180
7.0
Investigation of Cluster Integrated Cleaning Processes for Raised
Source/Drain Structures on Si
Richard J. Carter, William J. Kiether, John R. Hauser,
and Robert J. Nemanich
7.1
Abstract
Integrated Si surface cleaning steps have been investigated to achieve atomically
clean surface properties prior to Si epitaxy for raised source/drain device structures. Low
pressure, room temperature anhydrous hydrogen fluoride (AHF)/methanol vapor phase
cleaning was employed to etch thin passivating oxide layers.
In situ thermal cleaning was
employed to remove residual surface contamination after the HF vapor cleaning process.
Secondary ion mass spectroscopy (SIMS) results show that an HF vapor cleaned surface
results in residual oxygen and carbon species comparable to a wet chemically etched
surface. Increased surface concentrations of fluorine are observed for the HF vapor
cleaned surface. A 15 sec thermal clean at 800 °C significantly reduces the oxygen and
fluorine concentrations to near the SIMS detection limits. Thermal cleaning does not
reduce carbon contamination, and, in fact, it appears that thermal cleaning increased the
carbon contamination levels.
H-plasma cleaning has demonstrated the ability to
significantly remove carbon and hydrocarbon contamination from Si surfaces at low
temperatures. An H-plasma process will also reduce residual surface concentrations of
oxygen and fluorine. Atomic Force Microscopy (AFM) has shown surface roughness
measurements of HF vapor cleaned and wet chemically cleaned Si surfaces to be
181
comparable. H-plasma cleaning at temperatures > 400 °C does not increase the roughness
of the Si surface. We explore the potential of combining anhydrous HF/methanol vapor
phase cleaning with H-plasma cleaning.
182
7.2
Introduction
Cluster integrated and in situ cleaning steps prior to next step processing are
becoming increasingly critical. As device geometries shrink, there are more stringent
demands on the quality of the substrate surfaces (1). Any contamination remaining on the
Si surface may have a detrimental affect on device quality and yield. Integrating cleaning
steps with a growth or deposition process has the advantage of protecting the Si surface
from recontamination. The use of in situ surface cleaning steps prior Si MBE and epitaxy
has been well documented (2-15).
In situ preparation techniques include: 1) thermal
cleaning under UHV or in a H 2 ambient, 2) ex situ oxide removal followed by thermal
cleaning, 3) H-plasma cleaning, and 4) HF vapor phase cleaning.
Thermal cleaning at high temperature relies on the thermal desorption of SiO
2
(Eq.
[7.1]) under ultra-high vacuum (UHV) to achieve an atomically clean Si surface.
Si(s) + SiO 2(s) à 2SiO (g)
[7.1]
Si in the reaction is provided by the substrate. The mechanism is thought to be Si
diffusing into the SiO 2 layer and forming 2 SiO molecules. Ishizaka and Shiraki have
determined that carbon-free passivating oxides are necessary in order to achieve
atomically clean surfaces (4). If the oxide is not carbon-free, then carbon contamination in
the oxide film can lead to the formation of SiC residues on the surface (5). Such artifacts
will significantly disrupt epitaxial growth.
Desorption of the SiO
2
layer requires
183
temperatures at ~ 710-780 °C for times ranging from 40 min down to 15 min (4). Thermal
cleaning has also been achieved by cleaning under a Si flux (6). In this case, the removal
of the oxide film is achieved by impinging the surface with Si. Cleaning under a Si flux
significantly reduces the cleaning time (~ 1 min), but the cleaning temperature is still just
below 800 °C.
The SIA industry roadmap continues to require shallower junction depths with
each new technology node (16). The doping profiles used in these shallow junctions
cannot sustain high temperatures for extended times (even times as short as 1 minute)
(17). It is therefore necessary to investigate preparation techniques, which reduce the
thermal budget.
One approach to cleaning is to remove the SiO 2 passivating layer with an HF dip
prior to thermal cleaning. Etching the SiO
2
layer, ex situ, significantly lowers the thermal
budget for thermal cleaning (7,8). Celik and Öztürk (7) have shown that thermal cleaning
can remove residual oxygen and chlorine (below SIMS detection limits) from the Si
surface in less than 15 sec at 800 °C. However, residual carbon contamination was not
removed.
H-plasma cleaning of Si has demonstrated the ability to remove oxygen, carbon,
and fluorine contamination from Si surfaces at low temperatures (< 500 °C) in process
times of 1 min or less (18-22). This technique also results in a hydrogen passivated Si
surface (23,24). H-plasma cleaning is ineffective in removing SiO
2
at low temperatures (<
500 °C) (25). An HF process is necessary to remove the SiO 2 film prior to H-plasma
treatment. However, etching of the oxide exposes the Si surface to ambient conditions,
184
and, therefore, it is susceptible to surface recontamination (e.g. native oxide regrowth).
Performing the oxide removal step in a clustered environment will protect the exposed Si
surface from atmospheric contaminants.
Anhydrous HF/methanol vapor chemistries have proven to be a viable etch process
for SiO2 films. However, removal of passivating oxides with anhydrous HF/methanol
chemistries may result in the formation of surface residue (26,27). O-plasma and UVozone treatments are dry oxidation techniques used to remove organic contamination and
passivate the Si surface with SiO 2. Recent results have demonstrated that a 2-step vapor
process can be used to clean UV-ozone oxide layers without the formation of etch residue
islands (28).
In this study, we investigate a two step cleaning process to achieve an atomically
clean Si surface. Step 1) An anhydrous HF/methanol vapor phase clean of the passivating
oxide film.
Step 2) A 15 sec in situ thermal clean a 800 °C to remove residual
contamination on the Si surface. Secondary ion mass spectroscopy (SIMS) is used to
measure interfacial concentrations of oxygen, carbon and fluorine species.
We also
explore the potential of H-plasma treatment of HF vapor phase cleaned surfaces.
7.3
Experimental
In this study, 4 inch diameter p-type Si (100) wafers were used. Native oxides
were removed with a 2% HF dip followed by a 2 min DI (de-ionized water) rinse. UVozone exposure was used to produce passivating oxides on the substrates prior to
185
integrated cleaning. The UV-ozone treatment consisted of a 5 min exposure of the Si
surface to UV light and ozone gas in a Samco UV & ozone dry stripper, model UV-1.
UV-ozone treatment produced ~ 1.5 nm thick oxide passivating layer, as measured by
ellipsometry. The control surfaces in this study were a UV-ozone surface, a UV-ozone/HF
dipped surface, and a UV-ozone/HF dipped surface followed by in situ thermal cleaning.
Immediately after passivating oxides were grown, the wafers were loaded into a loadlock
connected to a 6-port single wafer processing clustertool (Fig. 1.1b).
The loadlock
chamber was pumped to ~ 5x10 -6 Torr prior to transfer into the waferhandler. The
waferhandler base pressure was ~ 6x10 -8 Torr, and wafer transfer occurs at ~ 1x10 -6 Torr.
Vapor phase cleaning was accomplished in a modified Advantage 2000 system
(Fig. 3.2) that was donated by Genus Corporation. The system is retrofitted to be high
vacuum compatible. It is a single wafer stainless steel chamber with a SiC dome. The
system employs anhydrous hydrogen fluoride (AHF) gas delivered from a gas cylinder and
methanol vapor vacuum evaporated from a Teflon coated stainless steel sampling cylinder.
The AHF gas flow range is from 0 to 500 sccm and is controlled by a mass flow controller
(MFC). The methanol gas flow range is at room temperature and is from 0 to 67 sccm,
and is controlled by an N 2 calibrated MFC. The vapor pressure of methanol at 25 °C is ~
100 Torr. In order to increase methanol flow, the cylinder and gas line may be heated.
The methanol flow calibration, provided by Brooks Instruments, in an N 2 MFC is 0.667.
Nitrogen is used to establish the desired process pressure, and after the cleaning process,
N2 is used to purge the system prior to wafer transfer. The nitrogen flow range is from 0
to 1000 sccm and is controlled by an MFC. Process gases are delivered via a teflon
186
injector into the process chamber. Process pressure is measured by a baratron gauge and
is regulated using a downstream throttle valve. The system base pressure is ~ 2x10
-7
Torr.
The wafer is held horizontally in the process chamber and etching occurs at ambient
temperature (~ 25 °C) with process pressures ranging from 100 Torr to 0.5 Torr.
In this study, wafers were etched at 25 Torr using a 2-step vapor process for an
etch time of 2 minutes. The 2-step vapor process exposes the wafer initially to a methanol
gas flow for 1 min prior to exposure to AHF gas. The methanol gas flow rate was 60
sccm. The AHF gas flow rate was 100 sccm. A nitrogen flow rate of 100 sccm was used
to maintain the process pressure (~ 25 Torr).
In situ thermal cleaning was accomplished in a chamber consisting of a quartz bell
jar on a stainless steel load chamber. The module is a 3-zone RTP cold-wall system (29).
Wafers are loaded into the load chamber and then raised up into the quartz bell jar.
Tungsten-halogen lamps are focused through the quartz bell jar to achieve rapid wafer
heating. During the thermal cleaning process, the wafer is rotated at 10 rpm. The Si
wafers were thermal cleaned for 15 sec at approximately 800 °C. Wafer temperature is
monitored by an OS/200 pyrometer at a wavelength of 5.2 µm. The chamber pressure
was ~ 2x10-7 Torr during thermal cleaning. The RTP system base pressure is ~ 2x10
-8
Torr.
After the cleaning steps, the wafers were capped with 80 nm of poly-Si.
The
capping process is used to prepare wafers for SIMS analysis of the surface contamination.
Wafers were capped in the RTP system immediately after thermal cleaning or after HF
vapor phase cleaning.
The control surfaces were capped after the wet chemical
187
processing. Poly-Si was deposited using a gas mixture of 10% Si 2H2 in helium at 650 °C
with a chamber pressure of 250 mTorr. The Si 2H2 flow rate during the poly cap process is
400 sccm. After the wafers were capped, they were sent to Evans East for SIMS analysis.
The samples were analyzed with a PHI model 6600 secondary ion mass spectrometer
using 3 keV cesium primary ion bombardment and negative ion detection. Ions were
detected in a quadrupole mass spectrometer. Interfacial concentrations of O, C, and F
were measured. Data was obtained in terms of atoms/cm 3 and atoms/cm 2.
7.4
Results
UV-ozone oxides were etched by a 2 min HF vapor process at 25 Torr or etched
with a 30 sec HF dip. SIMS analysis was employed to characterize the Si surface
chemistry after etching.
SIMS was also used to characterize the chemistry of the
passivating oxide film. Interfacial concentrations of O, C, and F were measured. These
results are summarized in Table 7.1. The measured interfacial concentrations for the UVozone oxide film are 5.61x10 15, 8.77x1012, and 3.91x10 12 atoms/cm 2 for O, C, and F,
respectively. For a Si surface, one monolayer (ML) is equivalent to 8 x 10 14 atoms/cm 2.
For the UV-ozone film the oxygen concentration is equivalent to ~ 7 ML. Assuming 1
ML is equivalent to 0.25 nm, the UV-ozone oxide thickness is ~ 1.7 nm. The measured
interfacial concentrations for the HF vapor cleaned surface are 8.58x10 13, 4.36x1013, and
7.75x1011 atoms/cm 2 for O, C, and F, respectively.
The measured interfacial
concentrations for the HF dipped surface are 8.67x10 13, 1.70x1013, and 8.08x10 10
188
atoms/cm2 for O, C, and F, respectively. Oxygen coverage as a result of HF vapor
cleaning and HF dipping is reduced to 10 % of a monolayer. The surface concentrations
of carbon and fluorine are less than 10 % and 1 % of a monolayer, respectively.
A 15 sec thermal anneal at 800 °C was used after HF vapor cleaning and after a
HF dip. SIMS results are summarized in Table 7.1. The measured surface concentrations
for the thermal cleaned HF vapor etched surface for O and C are 1.07x10 11 and 8.10x10 13,
respectively. The measured surface concentrations of the thermal cleaned HF dipped
surface for O and C are 4.62x10 11 and 1.18x10 14, respectively. In both cases, the fluorine
concentration was below the SIMS detection limit. A 15 sec thermal clean at 800 °C
significantly reduces the surface concentrations of oxygen and fluorine for the HF vapor
cleaned surface and the HF dipped surface. The surface concentration of oxygen is
reduced to near SIMS detection limits. The thermal cleaning step did not reduce carbon
contamination on the surfaces. In both cases, the carbon concentration is increased.
Process
UV-ozone
Oxygen
5.61x1015
Carbon
8.77x1012
Fluorine
3.91x1012
HF dip
8.67x1013
1.70x1013
8.08x1010
HF vapor
8.58x1013
4.36x1013
7.75x1011
HF dip + thermal clean
4.62x1011
1.18x1014
DL
HF vapor + thermal clean
1.07x1011
8.10x1013
DL
Table 7.1: Interfacial concentrations of O, C, and F for oxidized and cleaned surfaces as
measured by SIMS.
189
7.5
Discussion
In comparing the HF vapor process to the HF dip it is found that the HF vapor
cleaned and HF dipped surfaces resulted in approximately the same amount of residual
oxygen (~10 % of a monolayer). The HF vapor cleaned surface displayed a greater
amount of carbon than the HF dipped surface. The HF vapor cleaned surface resulted in
an order of magnitude more fluorine than the HF dipped surface. In terms of oxygen and
carbon concentrations, the surface chemistry of the two etch processes is comparable.
The addition of a 15 sec thermal clean at 800 °C significantly reduced oxygen and fluorine
concentrations to near and below SIMS detection limits, respectively. The thermal clean
did not reduce the carbon contamination on the etched surfaces. In fact, it may have
increased the carbon contamination. Thermal cleaning of HF vapor cleaned and HF
dipped surfaces appears to result in a similar surface chemistry, little oxygen (< 1% ML),
increased carbon, and no detectable fluorine.
In order to improve the quality of the HF vapor cleaned surface, we suggest
adding a H-plasma treatment prior to or in place of the thermal cleaning step. H-plasma
treatments have resulted in Si surfaces with no detectable carbon and very little residual
oxygen and fluorine (18-22). Two problems associated with H-plasma cleaning are: 1) the
potential for etching of the Si surface; and 2) the diffusion of H into the subsurface region.
Etching of the Si surface can lead to a roughened surface. It has been shown that Hplasma etching of silicon is temperature dependent (30-32). H-plasma etching of Si
occurs more rapidly at substrate temperatures < 450 °C.
Diffusion of H into the
190
subsurface region can lead to plate-like defects (33). Montgomery et al. have shown that
a H-plasma treatment at 450 °C does not result in an increase in Si surface roughness or
formation of subsurface defects (30). This was observed for H-plasma exposures as long
as 10 min.
7.6
Summary
In this chapter, we discussed Si surface prep aration techniques prior to Si epitaxy.
HF vapor etching a UV-ozone oxide results in a Si surface with residual oxygen, carbon,
and fluorine. It is shown that thermal cleaning after the HF vapor process significantly
reduces surface oxygen and fluorine levels.
However, thermal cleaning appears to
increase the carbon contamination on a vapor cleaned surface. The use of an H-plasma
has the ability to significantly reduce residual carbon, oxygen, and fluorine levels on an HF
dipped Si surface. We propose a two step cleaning process to achieve atomically clean
surface properties (Fig. 7.1). Step 1) Room temperature anhydrous HF/methanol vapor
cleaning for passivating oxide removal. Step 2) One minute H-plasma treatment at 450 °C
to remove residual oxygen, carbon and fluorine; and H-passivate the Si surface.
Integration of the two technologies provides a low thermal budget cleaning process for
low temperature Si epitaxy.
191
7.7
Acknowledgements
This work has been partially supported by the National Science Foundation
Engineering Research Centers Program through the Center for Advanced Electronic
Materials Processing (Grant CDR 8721505). Authors would like to acknowledge the
work of T.P. Schneider, J.S. Montgomery, J.P. Barnak, H. Ying, and A. Somashekhar
(aka super-star) for their contributions in the understanding and development of H-plasma
cleaning technology. We also acknowledge Genus Corp.
192
References
1. “Overview and Evolution of Semiconductor Wafer Contamination and Cleaning
Technology,” W. Kern, in Handbook of Semiconductor Wafer Cleaning
Technology, Edited by W. Kern (Noyes Publications 1993) Chapter 1.l
2. Y. Shiraki, Y. Katayama, K. Kobayashi, K. Komatsubara, “Molecular Beam and
Solid-Phase Epitaxies of Silicon Under Ultra-High Vacuum,” J. of Crystal growth,
Vol. 45, 1978 pp. 287-291.
3. C.J. Galewski, J.C. Lou, and W.G. Oldham, “Silicon Wafer Preparation for LowTemperature Selective Epitaxial Growth,” IEEE Transactions on Semiconductor
Manufacturing, Vol. 3, No. 3, August 1990 pp. 93-98.
4. A. Ishizaka and Y. Shiraki, “Low Temperature Surface Cleaning of Silicon and Its
Application to Silicon MBE,” J. of the Electrochemical Society, Vol. 133, April 1986
pp. 666-671.
5. Y. Mikata, T. Inoue, S. Takasu, T. Usami, T. Ohta, and H. Hirano, “Thermal Cleaning
of Si Surface in Ultra High Vacuum,” Proc. of the 1 st Int. Symp. on Silicon Molecular
Beam Epitaxy, Edited J.C. Bean, J. of the Elecrochemical Society, (1985) pp. 45-56.
6. M. Tabe, “Etching of SiO 2 Films by Si in Ultra-High Vacuum,” Jpn. J. of Applied
Physics, Vol. 21, March 1982 pp. 534-538.
7. S.M. Celik and M.C. Öztürk, “Low Thermal Budget In Situ Surface Cleaning for
Selective Silicon Epitaxy,” J. Electrochem. Soc., Vol. 145, No. 10, October 1998 pp.
3602-3609.
193
8. V.J. Silvestri, K. Nummy, P. Ronsheim, R. Bendernagel, D. Kerr, V.T. Phan, J.O.
Borland, and J. Hann, “ULSI quality silicon epitaxial growth at 850 degrees C,” J.
Electrochem. Soc., Vol. 137, 1990 pp. 2323-2327.
9. J. Ramm, E. Beck, A. Dommann, I. Eisele, D. Kruger, “Low Temperature Epitaxial
Growth by Molecular Beam Epitaxy on Hydrogen-Plasma-Cleaned Silicon Wafers,”
Thin Solid Films, Vol. 246, No. 1-2, June 1994 pp. 158-163.
10. T.P. Schneider, J. Cho, Y.L. Chen, D.M. Maher, R.J. Nemanich, “Plasma Surface
Interactions and Surface Properties for Remote H-Plasma Cleaning of Si(100),” Mat.
Res. Soc. Symp. Proc., Vol. 315, 1993 pp. 197-209.
11. T.P. Schneider, J. Cho, D.A. Aldrich, Y.L. Chen, D.M. Maher, R.J. Nemanich,
“Electronic Structure, Surface Morphology and Epitaxy of Remote H-Plasma Cleaning
Si(100),” J. Electrochem. Soc. Conf. Proc., Vol. 92-12, 1992 pp. 122-132.
12. A.E.T. Kuiper and E.G.C. Lathouwas, “Room-Temperature HF Vapor-Phase
Cleaning for Low-Pressure Chemical Vapor Deposition of Epitaxial Si and SiGe
Layers,” J. Electrochem. Soc., Vol. 139, No. 9, September 1992 pp. 2594-2599.
13. C.P. D’Emic, J.M. Blum, S.L. Cohen, R.J. Baseman, M. Gilbert, R. Cardone, C.
Stanis, L. Rothman, “Vapor HF Etching for Low Temperature Silicon Epitaxy,” Mat.
Res. Soc. Symp. Proc., Vol. 259, 1992 pp. 479-485.
14. R. McIntosh, T. Kuan, and E. Defresart, “Hydrogen Fluoride Vapor Etching for PreEpi Silicon Surface Preparation,” Journal of Electronic Materials, Vol. 21, No. 1,
1992 pp. 57-60.
194
15. M. Caymax, S. Decoutere, E. Röhr, W. Vandervorst, M. Heyns, H. Sprey, A. Storm,
and J.W. Maes, “Electrical Evaluation of the Epi/Substrate Interface Quality after
Different in situ and ex situ Low-Temperature Pre-Epi Cleaning Methods,” 4 th
International Symposium for Ultra Clean Processing of Silicon Surfaces Proceedings,
1998 pp. 237-240.
16. SIA roadmap provides a technology roadmap for future growth of the semiconductor
industry, distribution is coordinated by Sematech www.sematech.org.
17. R.C. Jaeger, Introduction to Microelectronic Fabrication , (Addison-Wesley Publishing
Company 1993), Chapter 4 pp. 58-66.
18. R.A. Rudder, G.G. Fountain, and R.J. Markunas, “Remote Plasma-Enhanced
Chemical-Vapor Deposition of Epitaxial Ge Films,” J. Applied Physics, Vol. 60, No.
10, 1986 pp. 3519-3522.
19. R.E. Thomas, M.J. Mantini, R.A. Rudder, D.P. Malta, S.V. Hattengady, and R.J.
Markunas, “Carbon and oxygen removal from silicon (100) surfaces by remote plasma
cleaning techniques,” J. Vac. Sci. Technol. A, Vol. 10, 1992 pp. 817-822.
20. J.P. Barnak, “Processing of Si (100) Surfaces by a Remote RF H2 and H2/SiH4-Plasma
to Remove Surface Contaminants,” Ph.D Thesis Dissertation, Raleigh, NC 1997.
21. H. Ying, “In-Situ Remote RF Plasma Cleaning and Surface Characterization after
SiO2/Si RIE,” Ph.D Thesis Dissertation, Raleigh, NC 1998.
22. A. Somashekhar, “The Investigation of Hydrogen Plasma Processing for Backend
Cleaning,” M.M.S.E Thesis, Raleigh, NC 1998.
195
23. J. Cho, T.P. Schneider, J. VanderWeide, H. Jeon, R.J. Nemanich, “Surface Electronic
States of Low-Temperature H-Plasma Cleaned Si(100),” Applied Physics Letters, Vol.
59, No. 16, October 1991 pp. 1995-1997.
24. T.P. Schneider, “Hydrogen Plasma Interactions with Silicon Surfaces,” Ph.D Thesis
Dissertation, Raleigh, NC 1994.
25. S. Veprek, C. Wang, G. Ratz, “Control of Isotropic and Anisotropic Etching and
Surface Cleaning of Silicon and Silicon Dioxide in a Hydrogen Plasma,” Mat. Res.
Soc. Conf. Proc., Vol. 282, 1992 pp. 511-516
26. R.J. Carter, E.J. Bergman, D.R. Lee, J. Owyang, and R.J. Nemanich, “AFM Analysis
of HF Vapor Cleaned SiO 2 Surfaces,” Mat. Res. Soc. Symp. Proc. Vol. 167, 1997 pp.
481-486.
27. R.J. Carter, J.R. Hauser, and R.J. Nemanich, “Surface Residue Nucleation in
Anhydrous HF/Alcohol Vapor Processing of Si Surfaces,” in preparation.
28. R.J. Carter, J.R. Hauser, and R.J. Nemanich, “HF/Alcohol Vapor Cleaning Processing
and Oxide Preparation Techniques for Residue Island Suppression,” in preparation.
29. W.J. Kiether, M.J. Fordham, S. Yu, A.J. Silva Neto, K.A. Conrad, J.R. Hauser, F.Y.
Sorrell, and J.J. Wortman, 2 nd International Rapid Thermal Processing Conference,
Monterey CA. Eds. R.B. Fair and B. Lojek, RTP’94, Round Rock, TX (1994) pp.96101.
30. J.S. Montgomery, T.P. Schneider, R.J. Carter, J.P. Barnak, Y.L. Chen, J.R. Hauser,
R.J. Nemanich, “Morphology of Si(100) surfaces exposed to a remote H plasma,”
Applied Physics Letters, Vol. 67, No. 15, October 1995 pp.2194-2196.
196
31. J.S. Montgomery, “Materials and Device Analysis of Hydrogen Plasma Prepared
Silicon Surfaces,” Ph.D Thesis Dissertation, Raleigh, NC 1995.
32. R.J. Carter, T.P. Schneider, J.S. Montgomery, and R.J. Nemanich, “ In Situ Remote HPlasma Cleaning of Patterned Si-SiO 2 Surfaces,” J. Electrochem. Soc., Vol. 141, No.
11, November 1994 pp. 3136-3140.
33. N.M. Johnson, F.A. Ponce, R.A. Street, and R.J. Nemanich, “Defects in Single-Crystal
Silicon Induced by Hydrogenation,” Phys. Rev. B (Condensed Matter), Vol. 35, No.
8, March 1987 pp. 4166-4169.
197
UV-ozone
oxide
LTO
HF Vapor
Etch
C
LTO H HFC HHC F
Si
Si
4HF + SiO2 Õ SiF4 + 2H2O
C
LTO H H FC HHC F
H-Plasma
Clean
LTO H H H H
Si
Si
Si-F(surface) + 2H Õ Si-H(surface) + HF(g)
C + xH Õ CHx
Figure 7.1: Illustration of a proposed two step cleaning process for the contact hole region
prior to Si epitaxy. The two step process is an HF vapor etch followed by an H-plasma
clean.
198
„The reward of a thing well done is to have done it.“
Waldo Emerson
199
8.0
8.1
Thesis Conclusions and Future Work
Thesis Conclusions
This thesis focused on studying the formation of residue islands in HF/alcohol
vapor phase processes.
Initial experiments employed 49% HF/H 2O and IPA vapor
chemistries to study the morphology of HF vapor cleaned surfaces. The study was
extended to include anhydrous HF/methanol vapor chemistries at low pressures (5-50
Torr). Throughout this research analysis techniques included: atomic force microscopy
(AFM), lateral force microscopy (LFM), secondary ion mass spectroscopy (SIMS),
ellipsometry, and particle detection.
Residue island formation was observed on the silicon surface as a result of
cleaning with HF/alcohol vapor chemistries. While particle generation during the vapor
cleaning process was suppressed, the observation of residue island formation proved to
be a new type of surface defect due to the vapor cleaning process. Analysis with SIMS
and LFM indicated that the residue islands contain Si and N.
A correlation between oxide purity and residue island density was observed. It
was found that the density of residue islands remaining upon the surface was dependent
upon the nitrogen concentration in the passivating oxide. This is significant since most
passivating oxides in IC fabrication are grown with the RCA clean, which includes
NH4OH in the wet chemistry. It was suggested that oxides grown in the presence of
NH4OH result in a significant concentration of nitrogen in the SiO
2
film. It was shown
200
that vapor etching passivating oxides grown with a dilute RCA or an SC-2 chemistry did
not result in the formation of residue islands.
The nucleation of the residue islands has been characterized by a 4 step process:
1) formation of an adsorbed layer of alcohol on the Si surface, 2) hydrogen bonding
between N-Si impurity complexes and alcohol molecules; 3) diffusion and interactions of
impurity complexes; 4) nucleation and growth of residue islands. The presence of an
adsorbed layer of alcohol leads to hydrogen bonding between the N-Si impurities and
alcohol molecules. The molecular bonding provides a means for the impurities to diffuse
into the adsorbed alcohol layer, and, at that point, the impurities interact with one
another. Diffusion and interaction of the impurities initiates the nucleation step, which
leads to the growth of the residue islands.
After exploring the nucleation process of the residue islands, we investigated
methods to suppress their formation. Many wet cleaning processes result in the growth
of a passivating oxide on the Si surface. In many instances, a specific cleaning chemistry
is required to remove a specific contaminate. In this situation the chemistry can not be
replaced by alternative means. It is very possible that the passivating oxide grown in a
specified chemistry could contain a significant concentration of nitrogen.
Recognizing the significance of N impurities we investigated
vapor cleaning
processes, which would prevent the formation of residue islands. It was proposed that
suppressing the adsorption of the alcohol vapor on the oxide-free Si surface could prevent
residue island nucleation. A process squence was developed which exposed the oxide
surface to methanol vapor for 1 min and then stopped the flow prior to exposure to AHF
gas. Therefore as the oxide layer is removed, the methanol partial pressure is reduced
201
such that an adorbed layer of alcohol will not form on the Si surface. This technique was
successful in suppressing residue formation for vapor etching of UV-ozone oxides at
pressures of 25, 50, and 75 Torr. However, the process was not successful for vapor
etching RCA chemical oxides. It was found that annealing the chemical oxide prior to
the 2-step process was necessary to suppress the residue formation. The annealing step
was employed to reduce the amount of moisture in the chemical oxide. It was suggested
that moisture in the chemical oxide induced a condensed phase of alcohol on the Si
surface at reduced partial pressures. This annealing technique was only successful for
vapor etching with the 2-step process at 25 Torr.
The results in this study suggest that in order to achieve residue-free and particlefree surfaces, both alcohol and water must be controlled during the vapor cleaning
process. An HF/alcohol rich adsorbed layer on the oxide surface is necessary to suppress
particle formation. A transition to an HF/H 2O rich adsorbed layer is necessary for
etching the last monolayer of SiO 2, in order to suppress residue island formation. The
ideal vapor etching process begins with minimal amounts of water in the condensed
phase, but removal of the last monolayer of SiO 2 would be performed with no alcohol in
the condensed phase.
Residue islan d removal methods were also investigated. It was observed that DI
rinsing a vapor etched chemical oxide reduced the size of the residue islands, but not the
surface density. It was suggested that elements other than N or Si in the residue islands
are soluble in water. It was proposed that methanol molecules which remain part of the
residue island were removed by the DI rinse. Performing an HF dip after vapor cleaning
resulted in a slightly roughened but residue-free surface. This raised the question of
202
whether the HF bath etched the residue or if the residue was removed at the residue island
Si surface interface. Since Si-N materials etch more readily in liquid HF than vapor HF,
it is likely that the HF solution etched the residue islands. UV-ozone exposure reduced
the size of the residue islands, but not the surface density. This suggested that some
components of the islands other than N or Si, are volitilized due to UV-ozone exposure.
This too implied that methanol molecules may be attached to the residue islands.
Thermal annealing was also performed on vapor cleaned surfaces, but AFM imaging was
inconclusive due to tip imaging effects.
Although alternative oxide preparation
chemistries and HF dips were successful in reducing surface residue, residue suppression
during the oxide etch is the most preferrable approach.
Lastly, we explored the potential of integrating the 2-step vapor cleaning process
with in situ thermal cleaning and H-plasma cleaning. This was investigated to determine
if suitable surface properties could be achieved prior to epitaxial growth. SIMS was used
to measure interfacial concentrations of O, C, and F after HF vapor cleaning and in situ
thermal cleaning. It was shown that residual concentrations of O, C, and F remain on the
HF vapor cleaned surface. Employing in situ thermal cleaning after the HF vapor process
resulted in a surface with O and F concentrations near the SIMS detection limits.
However, carbon contamination was increased due to in situ thermal cleaning. This led
us to consider the potential of an H-plasma clean after HF vapor processing to remove
residual O, C, and F. Previous work in our laboratory has shown that H-plasma cleaning
is a viable techique to remove residual O, C, and F from the Si surface after an HF dip,
and the process results in an H-terminated surface. Our proposed integrated cleaning
process would consist of two steps. Step 1) two minute room temperature anhydrous
203
HF/methanol 2-step vapor cleaning process to remove the passivating UV-ozone oxide.
Step 2) one minute H-plamsa treatment at 450 °C to remove residual oxygen, carbon, and
fluorine; and result in a H-terminated Si surface. The intergrated cleaning process of HF
vapor and H-plasma is a low thermal budget process to achieve atomically clean surface
properties prior to Si epitaxy.
8.2
Recommendations for Future Research
In these studies, we identified residue island formation as a result of vapor
cleaning with HF/alcohol vapor chemistries. A mechanism for the nucleation of these
islands was determined. By manipulating the vapor cleaning process, a process sequence
was developed which suppressed the formation of the residue islands. It would be
worthwhile to further characterize the 2-step process in order to determine the minimum
partial pressure of methanol vapor necessary to initiate residue island nucleation.
Residual gas analysis (RGA) is a proven in situ technique to measure and monitor etching
reactions and gaseous and vapor partial pressures. By employing an RGA, the methanol
vapor/condensed phase equilibria could be monitored and perhaps controlled. It may also
be possible to monitor the endpoint of the vapor etch. This information could prove
useful in determining an optimal vapor cleaning process.
It would also be useful to further investigate alternative wet chemistries for oxide
surface preparation prior to HF vapor cleaning. The introduction of ozonized chemistries
has been explored recently. The use of an ozonized chemistry during a DI rinse or during
the RCA clean may provide a passivating oxide with very little nitrogen contamination.
204
In addition to AFM, LFM, and SIMS, the electrical properties of a metal-silicon
contact or a MOS capacitor can also reflect the quality of the silicon surface. It is
therefore recommended that contact resistance and gate oxide integrity (GOI)
comparisons be made for devices prepared with an HF dip and devices prepared with an
HF vapor clean.
The HF vapor clean has the potential to be a very useful technology for preparing
Si surfaces for next-step processing.
It is therefore recommended to investigate
integrating the HF vapor process into the processes of clustertool 2 at the Center for
AEMP. A significant first study would be to characterize Si epitaxial growth on an HF
vapor cleaned surface. If good quality epitaxy can be grown on an HF vapor cleaned
surface, then further process integration studies would be merited. Further studies would
be to employ the HF vapor cleaning process after reactive ion etching (RIE) and prior to
selective Si epitaxy processes for raised source/drain structures on Si. The HF vapor
could be used to remove the oxide after the O-plasma exposure used to remove the CFx
residue remaining after RIE processing. Since an O-plasma oxide is equivalent to a UVozone oxide, the 2-step process could be successful in preparing a residue-free surface.
The HF vapor cleaning process could also be employed prior to gate oxidation for the
Gate Stack process of cluster tool 1. Dilute RCA chemistries are a suitable alternative to
the standard RCA clean, therefore dilute RCA cleaning could be employed prior to the
HF vapor cleaning process. In this case vapor phase cleaning with the all-gas process
would be suitable for surface preparation, because surface residue formation would not
occur.
205
Finally, it is the opinion of this author that the integration of H-plasma cleaning
with HF vapor phase cleaning would be a very effective process in achieving atomically
clean surfaces. Perhaps integrating the two processes into one module would lead to a
revolution in wafer cleaning technology.
Some wafers, but no researchers were injured during the investigation of this research.
206
… after the eighth chapter he rested…
207