Download 4.10.3 Microstrip Resistance 4.11 Microstrip Design Formulas

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

Telecommunication wikipedia , lookup

Microwave wikipedia , lookup

Crystal radio wikipedia , lookup

Regenerative circuit wikipedia , lookup

Telecommunications engineering wikipedia , lookup

Lumped element model wikipedia , lookup

Microwave transmission wikipedia , lookup

Radio transmitter design wikipedia , lookup

Mechanical filter wikipedia , lookup

Electronic engineering wikipedia , lookup

HD-MAC wikipedia , lookup

Rectiverter wikipedia , lookup

Valve RF amplifier wikipedia , lookup

RLC circuit wikipedia , lookup

Scattering parameters wikipedia , lookup

Nominal impedance wikipedia , lookup

Impedance matching wikipedia , lookup

Index of electronics articles wikipedia , lookup

Power dividers and directional couplers wikipedia , lookup

Zobel network wikipedia , lookup

Two-port network wikipedia , lookup

Standing wave ratio wikipedia , lookup

Distributed element filter wikipedia , lookup

Transcript
TRANSMISSION LINES
4.10.3
229
Microstrip Resistance
In calculating R for a microstrip line, the conductor thickness must be taken
into account. The total resistance is the sum of the resistance of the strip and
the resistance of the ground plane:
R = Rstrip + Rground ,
(4.186)
where [51]
Rstrip =
Rs
w
1
4πw
1
+ 2 ln
π
π
t
q,
(4.187)
Rs is the sheet resistance, t is the thickness of the strip, and q is the filling
factor of the line:
1,
for w/h ≤ 0.5
q=
(4.188)
2
0.94 + 0.132w/h − 0.0062 (w/h) , for 0.5 ≤ w/h ≤ 10 .
The resistance of the ground plane is
Rground =
w/h
Rs
, for 0.1 ≤ w/h ≤ 10 .
w w/h + 5.8 + 0.03h/w
(4.189)
Finally, the conductor loss, αc , from Equation (4.75), is R/2Z0 . In summary,
the conductor resistance is comprised of a strip component and a component
due to the ground plane.
EXAMPLE 4. 20
Microstrip Attenuation
If the strip in Example 4.19 has a resistance of 1 Ω · cm−1 and the ground plane resistance
can be ignored, what is the attenuation constant at 5 GHz?
Solution:
ε0
εr
ω
h
For a low-loss line, α = R/(2Z0 ) (since there is no dielectric loss), R = 1 Ω · cm−1 ,
Z0 = 86.1 Ω, and so
α = 0.581 Np · m−1 .
4.11
Microstrip Design Formulas
The formulas developed in Section 4.10.2 on Page 223 enable the electrical
characteristics to be determined given the material properties and the
230
MICROWAVE AND RF DESIGN: A SYSTEMS APPROACH
physical dimensions of a microstrip line. In design, the physical dimensions
must be determined given the desired electrical properties. Several people
have developed procedures that can be used to synthesize microstrip lines
[67, 69–72]. This subject is considered in much more depth by Edwards
and Steer [51], and here just one approach is reported which is applicable
to alumina-type substrates where 8 ≪ εr ≪ 12. The formulas are useful
outside this range, but with reduced accuracy. Again, these formulas are the
result of curve fits, but starting with physically based equation forms.
4.11.1
High Impedance
For narrow strips, that is, when Z0 > (44 − εr ) Ω:
w
=
h
1
exp H ′
−
8
4 exp H ′
−1
,
(4.190)
where
H′ =
Z0
p
2(εr + 1) 1 εr − 1
π
4
1
ln +
ln
+
119.9
2 εr + 1
2
εr π
(4.191)
and [72]
"
1/2 #2
εr + 1
29.98
π
εr − 1
4
2
1
εe =
1+
ln +
.
ln
2
Z0
εr + 1
εr + 1
2
εr π
(4.192)
4.11.2
Low Impedance
Strips with low Z0 are relatively wide and the formulas below can be used
when Z0 < (44 − εr ) Ω. The crosssectional geometry is given by
0.517
(εr − 1)
2
w
ln (dεr − 1) + 0.293 −
,
= [(dεr − 1) − ln (2dεr − 1)] +
h
π
πεr
εr
(4.193)
where
59.95π 2
(4.194)
dεr =
√ ,
Z0 εr
and
εe =
εr
.
0.96 + εr (0.109 − 0.004εr )[log (10 + Z0 ) − 1]
(4.195)
For microstrip lines on alumina, which has εr ≈ 10, the expressions above
are accurate to ±0.2% for
8 ≤ Z0 ≤ 45 Ω.
(4.196)
TRANSMISSION LINES
EXAMPLE 4. 21
231
Microstrip Design
Design a microstrip line to have a characteristic impedance of 75 Ω at 10 GHz. The microstrip
is to be constructed on a substrate that is 500 µm thick with a relative dielectric constant of
5.6. What is the width of the line? Ignore the thickness of the strip. What is the effective
permittivity of the line?
Solution:
(a) Two design formulas were introduced for microstrip: one for high impedance and one
for low. The high-impedance (or narrow-strip) formula (Equation (4.190)) is to be used
for Zo > (44 − εr ) [= (44 − 5.6) = 38.4] Ω.
With εr = 5.6 and Z0 = 75 Ω, Equation (4.191) yields H ′ = 2.445. From Equation
(4.190) w/h = 0.704, thus
w=
w
× h = 0.704 × 0.5 = 352 µm.
h
(b) The effective permittivity formula is Equation (4.192) and so εe = 3.82 .
4.11.3
Comment on Formulas for Effective Permittivity
Two formulas have been presented that enable the effective permittivity of
a microstrip line to be calculated. Equation (4.178) provides the effective
permittivity from the physical dimensions, the width and height of the line,
and the relative permittivity of the medium. For a high-impedance line,
Formula (4.192) (and Formula (4.195) for a low-impedance line) provides
the effective permittivity using an electrical characteristic, the characteristic
impedance, and the relative permittivity of the medium. Both formulas
for effective permittivity are curve fit equations, although they are based
on physical insight. So the two formulas are unlikely to provide answers
that are within only a rounding error. So which one do you really want?
Most likely, once you have set the width you would really like to know the
effective permittivity as accurately as possible. Equation (4.178) is known
to be quite accurate, better than 0.2%, compared to detailed computer
simulations. Can you really believe this? No, there are variations of the
permittivity from place to place as the density of the material changes.
This is particularly true of composite materials such as an FR-4 circuit
board substrate, where the lower permittivity resin moves around during
manufacture while the glass fiber stays fixed. With silicon ICs the density
of the silicon dioxide varies. Another factor is that the thickness of the strip
affects the field distribution and hence the effective permittivity.
232
MICROWAVE AND RF DESIGN: A SYSTEMS APPROACH
CF
FRINGING
CAPACITANCE
OPEN
FRINGING FIELDS
(a)
(b)
+∆x
∆x
(c)
Figure 4-28 An open on a microstrip transmisison line: (a) microstrip line showing
fringing fields at the open; (b) fringing capacitance model of the open; and (c) an
extended line model of the open with ∆x being the extra transmission line length
that captures the open.
4.12
Transmission Line Components
The simplest microwave circuit element is a uniform section of transmission
line which can be used to introduce a time delay or a frequencydependent phase shift. More commonly it is used to interconnect other
components. Other line segments are used for interconnections, including
bends, corners, twists, and transitions between lines of different dimensions.
The dimensions and shapes are designed to minimize reflections and thus
maximize return loss and minimize insertion loss.
4.12.1
Open
Many transmission line discontinuities arise from fringing fields. One
element is the microstrip open shown in Figure 4-28. The fringing fields at
the end of the transmission line in Figure 4-28(a) store energy in the electric
field, and this can be modeled by the fringing capacitance, CF , shown in
Figure 4-28(b). This effect can also be modeled by an extended transmission
line, as shown in Figure 4-28(c). For a typical microstrip line with εr = 9.6,
h = 600 µm, and w/h = 1, CF is approximately 36 fF. However CF varies
with frequency, and the extended length is a much better approximation to
the effect of fringing, as it has very little frequency dependence [73]. For
the same dimensions, the length section is approximately 0.35h and almost
independent of frequency. A full treatment is provided in Edwards and
Steer [51]. As with many fringing effects, a capacitance or inductance is used
to describe the effect of fringing, but generally a distributed model is better.
TRANSMISSION LINES
233
OPEN
Z
0,1
Z
0,2
Z 0,3
1
2
1
2
1
2
1
2
l
(a)
1
(b)
(c)
3
3
1
1
2
1
2
2
4
2
4
1
2
1
1
1
2
2
1
3
2
2
1
2
1
2
4
1
2
2
1
(d)
(e)
(f)
(g)
Figure 4-29 Microstrip discontinuities: (a) quarter-wave impedance transformer; (b) open microstrip
stub; (c) step; (d) notch; (e) gap; (f) crossover; and (g) bend .
4.12.2
Discontinuities
The equivalent circuits of microstrip discontinuities (Figure 4-29(b–g)) are
modeled by capacitive elements if the E field is interrupted and by inductive
elements if the H field (or current) is disturbed. The stub shown in Figure
4-29(b) presents a short circuit to the through transmission line when the
length of the stub is λg /4. When the stub is electrically short (<< λg /4) it
introduces a shunt capacitance in the through transmission line.
4.12.3
Impedance Transformer
Impedance transformers interface two sections of line of different characteristic impedance. The smoothest transition and the one with the broadest bandwidth is a tapered line This element tends to be very long, as
ℓ > λg , and so step terminations called quarter-wave impedance transform-
234
MICROWAVE AND RF DESIGN: A SYSTEMS APPROACH
RESISTIVE
DISK
(a)
(b)
RESISTIVE
CYLINDER
(c)
(d)
Figure 4-30 Terminations and attenuators: (a) coaxial line resistive termination; (b)
microstrip matched load; (c) coaxial attenuator; and (d) microstrip attenuator.
ers (see Figure 4-29(a)) are sometimes used, although their bandwidth is relatively
small and centered on the frequency at which l = λg /4. Ideally Z0,2 =
p
Z0,1 Z0,3 .
4.12.4
Termination
In a termination, power is absorbed by a length of lossy material at the
end of a shorted piece of transmission line (Figure 4-30(a)). This type of
termination is called a matched load, as power is absorbed and reflections
are small irrespective of the characteristic impedance of the transmission
line. This is generally preferred to a lumped resistor at high frequencies.
If size is critical, as the characteristic impedance of transmission lines varies
with frequency, a simpler and smaller termination can be realized by placing
a resistor to ground (Figure 4-30(b)).
4.12.5
Attenuator
Attenuators reduce the level of a signal traveling along a transmission line.
The basic design is to make the line lossy, but with characteristic impedance
approximating that of the connecting lines so as to reduce reflections. In the
case of wireless circuits, a microstrip line is made lossy by covering the line
with resistive material (Figures 4-30(c) and 4-30(d)).
TRANSMISSION LINES
(a)
235
(b)
(c)
Figure 4-31 Microstrip stubs: (a) radial shunt-connected stub; (b) conventional
shunt stub; and (c) butterfly radial stub.
4.12.6
Planar Radial Stub
The use of a radial stub (Figure 4-31(a)), as opposed to the conventional
microstrip stub (Figure 4-31(b)), can improve the bandwidth of many
microstrip circuits. A major advantage of a radial stub is that the input
impedance presented at the through line generally has broader bandwidth
than that obtained with the conventional stub. When two shunt-connected
radial stubs are introduced in parallel (i.e., one on each side of the microstrip
feeder line) the resulting configuration is termed a “butterfly” structure (see
Figure 4-31(c)). Critical design parameters include the radius, r, and the
angle of the stub.
EXAMPLE 4. 22
Rat-Race Hybrid
In this example the “rat-race” circuit shown in Figure 4-32(a) is considered. One of the
functions of this circuit is that with an input at Port 1, the power of this signal is split between
Ports 3 and 4 At the same time, no signal appears at Port 2. This example is an exercise in
exploiting the impedance transformation properties of the transmission line.
From Figure 4-32(a) it is seen that each port is separated from the other port by a specific
electrical length. Intuitively one can realize that there will be various possible outputs for
excitation from different ports. Each case will be studied.
When Port 1 of the hybrid is excited or driven, the outputs at Ports 3 and 4 are in phase, as
both are distanced from Port 1 by an electrical length of λg /4, while Port 2 remains isolated,
as the electrical distance from Port 1 to Port 2 is an even multiple of λg /2. Thus Port 2 will
be an electrical short circuit to the signal at Port 1.
In a similar way, a signal excited at Port 2 will result in outputs at Ports 3 and 4, though
with a phase difference of 180◦ between the two output ports and Port 1 remains isolated,
which is directly from the same analysis done in the earlier case.
Finally, a signal excited at Ports 3 and 4 will result in the sum of the two signals at
Port 1 and the difference of two signals at Port 2. This combination of output is again due to
varying electrical length between every port and every other port in the rat-race hybrid. The
equivalent transmission line model and equivalent circuit of the rat-race hybrid are shown
in Figures 4-32(b) and 4-32(c), respectively.
236
MICROWAVE AND RF DESIGN: A SYSTEMS APPROACH
3
1
Z0
Z0
λ /4
λ /4
λ/4
4
Z0
Z0
2
Z0
2
3λ
4
(a)
λ /4
3
λ /4
2 Z0
Z0
λ /4
3
2 Z0
2 Z0
Z0
4
(b)
3λ
4
2 Z0
4
Z0
Z0
2 Z0
2 Z0
(c)
Figure 4-32 Rat-race hybrid with input at Port 1, outputs at Ports 3 and 4, and
virtual ground at Port 2: (a) implementation as a planar circuit; (b) transmission-line
model; and (c) equivalent circuit model.
4.13
Resonators
In a lumped-element resonant circuit, stored energy is transferred between
an inductor which stores magnetic energy and a capacitor which stores
electric energy, and back again every period. Microwave resonators function
the same way, exchanging energy stored in electric and magnetic forms, but
with the energy stored spatially. Resonators are described in terms of their
quality factor,
Q = 2πf0
average energy stored in the resonator at f0
power lost in the resonator
,
(4.197)
where f0 is the resonant frequency. The Q is reduced and thus the resonator
bandwidth is increased by the power lost to the external circuit so that the