Download Improved Theoretical Reflectivities of Extreme Ultraviolet Mirrors

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

X-ray fluorescence wikipedia , lookup

Optical flat wikipedia , lookup

Optical amplifier wikipedia , lookup

Scanning electrochemical microscopy wikipedia , lookup

Fiber-optic communication wikipedia , lookup

Optical rogue waves wikipedia , lookup

Nonimaging optics wikipedia , lookup

Ultraviolet–visible spectroscopy wikipedia , lookup

Magnetic circular dichroism wikipedia , lookup

Photon scanning microscopy wikipedia , lookup

Surface plasmon resonance microscopy wikipedia , lookup

Ellipsometry wikipedia , lookup

Optical coherence tomography wikipedia , lookup

Retroreflector wikipedia , lookup

Silicon photonics wikipedia , lookup

Harold Hopkins (physicist) wikipedia , lookup

Optical tweezers wikipedia , lookup

Passive optical network wikipedia , lookup

3D optical data storage wikipedia , lookup

Anti-reflective coating wikipedia , lookup

Transcript
Proceedings of the 25th SPIE Symposium on Microlithography, Santa Clara, 27 Feb.-03 Mar., 2000.
Proc SPIE, 3997, 412-419 (2000)
Improved Theoretical Reflectivities of Extreme Ultraviolet Mirrors
Mandeep Singh∗ and Joseph J.M. Braat
Optics Research Group, Faculty of Applied Sciences, Delft University of Technology, Lorentzweg 1,
2628 CJ Delft, The Netherlands
ABSTRACT
We show that the theoretical reflectivities of multilayered Mo/Be and Mo/Si extreme ultraviolet (EUV) mirrors tuned for
the 11-14 nm spectral region can be enhanced significantly by incorporating additional materials within the stack. The
reflectivity performance of these quarter-wave multilayers can be enhanced further by global optimization procedures by
which the layer thicknesses are varied for optimum performance. By incorporating additional materials of differing complex
refractive indices - e.g. Rh, Ru and Sr - in various regions of the stack we calculate peak reflectivity enhancements of up to
~5% for a single reflector compared to standard unoptimized stacks. For an EUV optical system with nine near-normalincidence mirrors, the theoretical optical throughput may be increased by up to 100%. We also show that protective capping
layers such as Rh and Ru, in addition to protecting the mirrors from environmental attack, may serve to improve the
reflectivity characteristics.
Keywords: Thin film optics, multilayers, distributed Bragg reflectors, extreme ultraviolet lithography, optimization
1. INTRODUCTION
The considerable interest in mirrors with high reflectivity at near-normal incidence tuned for the extreme ultraviolet (EUV)
11-14 nm spectral range is driven by the potential of EUV projection lithography as the basis for the next generation of high
throughput semiconductor lithographic tools for delineating structures at 70 nm and below [1,2]. For the EUV spectral
region high reflectivity normal incidence mirrors are necessarily multilayered thin film designs. Examples of high
reflectivity EUV mirrors for near-normal incidence operation are Mo/Be and Mo/Si multilayer systems that exhibit
particularly high reflectivities in the 11.2-12.0 nm and 12.8-14.0 nm spectral regions, respectively [3-5]. The motivation
behind this work is the pressing need for optical throughput enhancement in an EUV projection lithographic system.
The predominant designs are composed of distributed Bragg reflectors resembling quarter wavelength stacks with
constant film thicknesses. The typical designs that have been fabricated thus far are 80 periods of Mo/Be and 40-50 periods
of Mo/Si. [4, 5] These designs yield maximum theoretical reflectivities of R~0.75 for the Mo/Be stack, and R~0.73 for the
Mo/Si stack (taking into account a highly absorbing ~2-3 nm native oxide on the surface Be and Si layers). These
reflectivity values (which are amongst the best for multilayer reflectors in the EUV region), whilst adequate for optical
systems with a few reflectors, will dramatically diminish the output optical intensity to 6-10% of that directly before the first
mirror in a nine-mirror EUV lithographic system. It is therefore evident that even an increase of 1-2% in the peak
reflectivity of a single mirror will yield a significant light throughput enhancement in the overall optical system. A
particular problem in the EUV region is that all useful materials absorb the radiation to a certain extent. To reduce the effect
on the reflectivity response of the absorption losses, the thicknesses of the two components of the stack are adjusted to
deviate slightly from the optical path length of λ/4. The thickness of the high absorption (absorber) layer dh is set slightly
lower than that of the low absorption (spacer) layer dl, thus the partition ratio Γ = dh/(dh+dl) of the multilayer is defined.
Vinogradov and Zeldovich [6] have calculated Γ as a function of absorption - a value of Γ = 0.4 is commonly used.
It is well known for general thin-film mirror designs with absorbing films [7], and for EUV designs [3, 8-10], that a
gradual variation of the partition ratio through the stack - with Γ = 0.3-0.4 at the surface to Γ = 0.5 near the substrate can
increase the peak reflectivity. Carniglia and Apfel [7] showed that if the ratio of the thicknesses of the "spacer" and
"absorber" are adjusted for each period to give the largest increase in reflectivity, there is a transition from the ideal quarterwave stack near the substrate to thinner "absorber" layers near the surface. Their optimized design exhibited significantly
higher reflectivity than the periodic stack. In the case of EUV mirrors an increase in peak reflectivity of 0.5-2.0% may be
achieved. Such optimized stacks would yield significant throughput enhancements in a nine-mirror optical system.
∗
E-Mail: [email protected]
In this computational study we go a step further and show that unprecedented reflectivity enhancements in the standard
Mo/Be and Mo/Si stacks can be achieved by (1) incorporating additional materials within the basic stack, (2) utilizing
global optimization routines, based on optimal control theory, to vary the partition of each period within the stack for
optimum peak reflectivity, and (3) by selecting certain relatively inert materials as capping layers to avoid the formation of
highly absorbing surface oxide films. We show that optimized multicomponent multilayer stacks are a prerequisite if
significant optical throughput enhancement is to be realized in EUV projection lithography. The various materials
considered in this study, in addition to molybdenum, silicon and beryllium, are mainly from period 5 of the periodic table:
strontium, ruthenium and rhodium.
2. NUMERICAL METHODS
The computations were performed using the thin film design program TFCalc (Software Spectra Inc.), which is based on the
characteristic matrix methodology [11], and verified using LPro (4D Technology Ltd.), based on the 4×4 matrix formulation
[12]. The characteristic matrix of the j-th layer of the stack is given by:
é
cos φ j
Mj =ê
ê
êëiη j sin φ j
i
ù
sin φ j ú
ηj
,
ú
cos φ j úû
j = 1, 2, K , J
(1)
where
ϕj =
2π
n j d j cosθ j
λ
(2)
is the phase thickness expressed in terms of the refractive index nj and the physical thickness dj of the layers, wavelength λ,
and the angle of internal refraction θ j. The optical admittances:
η j = n j cosθ j
ηj =
nj
cosθ j
(TE pol.)
(3)
(TM pol.)
depend on the polarization of light at non-normal incidence. The assumptions inherent in these programs are that the
interfaces between the adjacent layers are perfect i.e. that there is no interfacial interdiffusion and no scattering loss. The
optimization methods for thin film multilayered structures are based on the general principles of optimal control theory. In
many thin film design methods there is a point at which the performance of the system can no longer be improved. In
optimal control theory, one way to overcome such problems is to increase the number of design variables so that a system
with a better performance i.e. with a lower minimum in a multidimensional parameter space can be found. In thin-film
design this can be accomplished, for example, by globally modifying the relative thicknesses of the layers in the multilayer
stack until the target optical performance is attained. For further convergence to the target value (of reflectivity) additional
materials were introduced into the standard stack with initial thicknesses set to zero. Multicomponent stacks were thus
engineered for near-normal incidence operation. Target reflectivities at one or more wavelengths around the central
wavelength were then entered into the optimization routine, which optimally varied the thicknesses, until the target
reflectivity values are approached for each wavelength. The merit (objective) function (common in optimal control theory)
is of the form [13]:
1/ 2
ìï 1 m é R(λ ) − Rˆ ù 2 üï
i
i
F = í åê
ú ý
m
δ
R
i
ïî i =1 ë
û ïþ
(4)
2
which represents the root-mean-square error between the calculated reflectance R(λi) and the target value R̂i ± δRi where δRi
is a tolerance, and m is the number of target values. In a previous paper [14] we have described in detail how esoteric
optimization procedures such as needle optimization [15,16] can be employed to further enhance the reflector performance.
However, in this work, we confine the discussion to a few relatively easily realizable designs.
In sections 3 and 4 we shall show that the thin-film optimization techniques outlined here are essential for
achieving reflectivity improvements in EUV multilayer reflectors. Global optimization procedures employed in this work
are an integral part of the TFCalc software package. The notation that we have adopted to represent a period in a
multicomponent stack is based on the commonly used notation for a 2-component stack e.g. Mo/Si where Mo is the low,
and Si the high refractive index material. A typical needle-optimized
stack is represented as Ru-Mo/Si which represents a single period with a
Table 1: Values of n and k at 11.3 and 13.4 nm
total optical path difference of λ/2. The sequence of the components is
11.3 nm
13.4 nm
written as manifested within the stack, i.e. Ru is on the substrate side and
Si on the top (vacuum) side. It should be noted that each distinct entity is
n
k
n
k
separated by a hyphen in this notation.
1.0081 0.0010 0.9892 0.0018
Be
The optical constants of the various materials, namely the complex BeO
0.9785 0.0102 0.9587 0.0171
refractive index N = n−ik are derived from atomic scattering factors by
0.9514 0.0046 0.9227 0.0062
Mo
Henke et. al. and were obtained from the CXRO WWW server at
0.9236 0.0089 0.8775 0.0296
Rh
Berkeley [17]. The values of n and k for the materials used in this study
0.9308 0.0063 0.8898 0.0165
Ru
were downloaded as functions of wavelength from 10 nm to 42 nm and
1.0055 0.0146 0.9999 0.0018
as such the wavelength dependence of n and k is implicit in all S i
0.9865 0.0123 0.9787 0.0106
calculations. The values of n and k at the two wavelengths of particular S i0 2
technological interest are tabulated in Table 1. The main aim of this study S r
0.9928 0.0011 0.9880 0.0013
is to demonstrate the performance enhancement of the reflectors and
therefore we assume ideal white light illumination.
3. SILICON-BASED MULTILAYERS FOR 13.4 nm
OPERATION
Si
The standard Si-based multilayer stack is considered to be an unoptimized
50-period Mo/Si system grown on a Zerodur® glass substrate, with a
partition ratio Γ = 0.4 yielding dMo=2.8 nm and dSi=4.1 nm. In addition, it
is assumed that the top 4.1 nm Si layer will undergo oxidation and
effectively form a ~2 nm capping layer of native oxide (SiO2). Analysis of
such a stack yields a peak reflectivity at ~13.4 nm of R = 0.730. This is the
first stack in Table 2 and will be regarded as the reference and standard
Mo/Si stack throughout this work. Furthermore, for a 9-reflector system, a
more useful measure of optical throughput is the value of R9. The relative
values of the integrated reflectivities to the 9th power are also tabulated in
Table 2. R9int is the area under the curve in the R9 vs. λ spectrum.
Optical thickness nd (nm)
4.0
3.5
3.0
3.1 Optimized two-component stacks
Mo
Substrate
The second design in Table 2 represents an optimized Mo/Si stack again
with a 2-nm native oxide layer at the surface. A 16% gain in R9int is
observed - the majority of this increase is a result of the increase in the top
Si layer. A further increase in R may be obtained by selecting Rh or Ru as
capping layers and optimizing the stack. A gain of 22% in R9int is possible
for a two-component Mo/Si multilayer stack with a 2-nm Rh capping layer
as tabulated in Table 2. The optimization of the Mo/Si stack results in a
gradual, smooth variation of the layer thicknesses through the stack while
the period optical thickness remains nominally constant at ~6.65-6.7 nm as
2.5
0
30
60
90
120
Layer number
Figure 1: The layer thickness profiles
in a 50-period optimized Mo/Si stack
with a 2 nm Rh capping layer.
3
Capping Layer R (Peak) R 9 int (Rel.Units)
2nm SiO2
0.730
1.00
2nm SiO2
0.745
1.16
2nm Rh
0.751
1.22
2nm Rh
0.757
1.36
2nm Rh
0.766
1.41
Optimized
Mo/Si
Mo/Si
Mo/Si
Ru-Mo/Si
Mo/Sr-Si
No
Yes
Yes
Yes
Yes
Table 2: Silicon-based 50-period multilayer
stacks tuned for 13.4 nm indicating the peak
reflectivity (R) and the relative values of R9
(integrated).
shown in Fig. 1. Near the substrate, dMo ≈ dSi ≈ 3.5 nm, varying to dMo ≈ 2.7 nm and dSi ≈ 4.2 nm near the surface. A salient
feature of the layer profile data in Fig. 1 is that the partition ration of ~0.4 is maintained for the first 20 periods from the
surface and thereafter gradually changes to ~0.5 at the substrate (close to the ideal λ/4 value). Thus for an optimum
reflectivity response, the higher the absorption in the material, the lower the thickness near the surface. Furthermore, the
increased thickness of Mo at the substrate side would indicate that near the substrate, optical contrast rather than absorption
within the layer is the prerequisite for optimum performance, and hence the optical thicknesses approach the quarter
wavelength value as for non-absorbing multilayers [6]. This phenomenon is discussed further in section 5. A selection of R9
spectra for the 13.4 nm region is presented in Fig. 2.
R
5
0.10
e
d
0.08
Si
4
c
Optical Thickness nd (nm)
9
b
0.06
a
0.04
3
2
Mo
0.02
1
0
12.8
13.2
13.6
14.0
Wavelength (nm)
Figure 2: R9 spectra of various Si-based multilayers: (a) Standard
unoptimized Mo/Si, (b) optimized Mo/Si, (c) optimized Mo/Si
with Rh capping layer, (d) optimized Ru-Mo/Si and (e) Mo/Sr-Si.
0
0
Ru
20
40
60
80
100
120
140
Layer Number
Figure 3: Layer profiles in a 50-period
Ru-Mo/Si stack with a 2 nm Rh capping
layer. The predominance of Ru over Mo
near the substrate (Layer 0) is clearly
observed.
3.2 Three-component stacks
The three component system is set up initially as a two-component Mo/Si stack with the third material interleaved between
the Mo and Si layers with its initial thickness set to zero. In the case of Ru-Mo/Si, Mo is favored near the surface and Ru
near the substrate (Fig. 3). In this case, Ru is introduced throughout the 50-period stack with its initial thickness set to zero
4
with a layer order given by [S 50(Ru-Mo-Si) Rh V] where S is the substrate, a 2-nm Rh capping layer and V is the vacuum.
The layer profile data for the Ru-Mo/Si multilayer stack is shown in Fig. 3 and clearly indicates the preference of Ru over
Mo close to the substrate and vice-versa near the surface; in fact Mo is absent in the first 10 layers closest to the substrate.
The sum of the optical thicknesses of the adjacent Ru and Mo layers of this stack is identical to the optical thickness profile
of Mo in Fig. 1 i.e. the quasi-quarter-wave character of the stack is maintained. The main increase in R9int in the Ru-Mo/Si
system appears to be from the higher optical contrast obtained near the substrate as a consequence of the lower value of n
for Ru as compared to Mo (Table 1). It is evident that the influence of optical contrast dominates near the substrate and
therefore the higher absorption in Ru is not critical. Also, thin (~1 nm) interleaved Ru layers persist to the surface. This is a
result of a high optical contrast between Ru and Si - the absorption is kept in check by maintaining very thin Ru layers. A
36% gain in 9-reflector computed throughput is observed for the Ru-Mo/Si system over the standard Mo/Si stack (Table 2).
The discontinuities in the Mo profile are a result of the optimization process, whereby Mo wholly replaces Ru at random
locations in the stack.
In the Mo/Sr-Si system, Sr was introduced into the standard stack just in the 15 periods near the surface. Strontium,
with high n and low k (Table 1), acts predominantly like a "spacer" and partially substitutes for Si as can be seen in the
abrupt transition in the Si layer profile in Fig. 4. However, another subtle effect also takes place. A very small decrease in
the optical thickness of Mo of ~0.22nm at the point where Sr is introduced into the stack. This amounts to ~9-10% of the
optical thickness of the "absorber" Mo being replaced by Sr - predominantly the "spacer". The imperative of low photon
absorption near the surface takes precedence; with the value of k for Sr lower than that of Si, a significant proportion
(~40%) of the "absorber" part of the period is Sr. However, the value of n for Sr is sufficiently lower than that of Si for a
proportion of Sr to be incorporated into the low index "absorber" layer, mediated strongly by the low absorption in Sr. The
incorporation of Sr leads to a peak reflectivity of R=0.766 and a 41% increase in R9int.
4
4
Be
Si
2
Optical thickness nd (nm)
Optical thickness nd (nm)
3
3
Mo
Substrate
Sr
Substrate
Sr
1
1
0
0
Rh
2
25
50
75
100
125
Layer number
Figure 4: Layer thickness profiles of the
Mo/Sr-Si stack.
0
0
50
100
150
200
Layer number
Figure 5: Layer thickness profiles of the
Rh/Sr-Be stack.
4. BERYLLIUM-BASED MULTILAYERS FOR 11.3 nm OPERATION
The standard Be-based multilayer stack is considered to be an unoptimized 80-period Mo/Be system grown on a Zerodur®
glass substrate, with a partition ratio Γ = 0.4 yielding dMo=2.3 nm and dBe=3.4 nm. The top Be layer is assumed to undergo
oxidation such that a 2-nm BeO layer is formed. This is the standard two-component system entered in Table 3 and all other
5
stacks are referred to it. Upon optimization of the stack (again with a 2-nm BeO surface layer) the peak reflectivity increases
by ~3% resulting in a 24% enhancement in R9int. Further significant increases in R and R9int occur upon coating the
multilayer with a 2-nm Rh capping layer as tabulated in Table 3. However, the most striking feature of the data in Table 3 is
that Mo appears not to be the optimal material for the 11.3 nm window. The Rh/Be stack exhibits enhanced 9-reflector
relative optical throughput of 77%. However, factors such as high interdiffusion may favor Mo over Rh, though we are not
aware of any studies on Rh-Be interface chemistry. The layer profiles of the optimized Mo/Be and Rh/Be are qualitatively
identical to those shown in Fig. 1 for the Mo/Si system. The optical thickness profiles of the Rh/Sr-Be system are shown in
Fig. 5. Strontium is incorporated in 20 periods nearest the surface by a similar manner to that described for the Mo/Sr-Si
system above and results in a 117% increase in R9int. Due to their similar optical constants, Be and Sr behave in a similar
manner within the stack. Indeed the Rh/Sr-Be stack is uncannily similar to the Mo/Sr-Si stack and the explanation provided
in section 3.2 applies here as well. Selected spectra of Be-based multilayers are shown in Fig. 6.
Optimized Capping Layer R (Peak) R 9 int (Rel.Units)
Mo/Be
Mo/Be
Mo/Be
Rh/Be
Rh/Sr-Be
No
Yes
Yes
Yes
Yes
2 nm BeO
2 nm BeO
2 nm Rh
2 nm Rh
2 nm Rh
0.745
0.774
0.786
0.792
0.806
1.00
1.24
1.46
1.77
2.17
Table 3: Beryllium-based 80-period
multilayer stacks tuned for 11.3 nm
indicating the peak reflectivity R and
the relative values of R9 (integrated).
5. DISCUSSION
From our computational analysis of the various multilayer systems for the EUV region between 11 nm and 14 nm it would
appear that drastic enhancements in peak and 9-reflector integrated reflectivities are possible. A combination of capping
layer, global optimization procedures and, most importantly, the incorporation of additional materials within the stack
appear to be necessary conditions for reflectivity
enhancement. We have in the past [14] found that in the
9 0.15
e
11-14-nm region, in addition to Mo, the "absorber"
materials that show promise are Pd, Rh and Ru. In
d
addition to Si and Be, the viable "spacer" materials from
the numerical point of view are Sr and RbCl. These
c
findings are consistent with the selection criteria based
0.10
on Fresnel reflection coefficients discussed by
b
Yamamoto et. al. [18]. In the case of the Be-based
multilayers, we have found that Rh/Be yields superior
performance to that of Mo/Be. Issues such as interface
a
chemistry may preclude the viability of Rh/Be, however,
0.05
we are not aware of any studies in the literature on this
issue.
Multilayers of Rh/Si and Ru/Si have been
investigated in the past in relation to polarizer and filter
design for the 4-13 nm spectral region [18-20], and
0
interface imperfection [21]. Yanagihara et. al [22] have
11.00
11.15
11.30
11.45
11.60
investigated the performance of Rh/Si and Ru/Si as a
function of synchrotron radiation dose. Also, binaryWavelength (nm)
alloy/carbon-based grazing incidence multilayers such as
RhRu/C and ReW/C have been studied in the past
[23,24]. In this study, we have shown unequivocally that
Figure 6: R9 spectra of various Be-based multilayers: (a)
near-normal incidence numerically optimized 2Standard unoptimized Mo/Be, (b) optimized Mo/Be, (c)
component and multicomponent multilayers yield
optimized Mo/Be with Rh capping layer, (d) optimized Rh/Be
substantially better theoretical reflectivities. We point
and (e) Rh/Sr-Be.
out that we cannot say for certain that the optimization
R
6
procedure finds a global minimum of the merit function in our designs. However, due to the severe constraints on the
control variables imposed by high photon absorption in the materials and the relatively low optical contrast between the
materials, we consider the designs presented in this work to be near-optimal.
In for instance the Ru-Mo/Si stack where the optimization procedure invariably prefers to locate Ru near the
substrate and Mo near the surface (Fig. 3), we suggest a qualitative explanation as follows. At 13.4 nm Ru exhibits a higher
optical contrast with Si than does Mo. Additionally, the extinction coefficient k and therefore the absorption within the layer
is lower for Mo than Ru. Near the surface of the stack, it is important that there be low absorption so that the incident
radiation penetrates as deep into the stack as possible such that phasor addition is maximized. However, deep within the
stack where the intensity is low, increased optical contrast is favored for the intensity of the Fresnel reflection to be
maximized, i.e. the absorption is not critical and therefore the partition ratio tends towards the ideal quarter-wave limit as
for non-absorbing multilayers. When Sr is incorporated in the structure it is preferentially located in the near-surface region
of the stack and predominantly substitutes for Si (Fig. 4). This can be explained by similar arguments: the value of n for Sr
is lower than that of Si and therefore whilst the optical contrast with the low-n materials is lowered, the lower value of k for
Sr compared with Si (Table 1), means that the absorption within the layer is lower - thus favoring Sr near the surface of the
stack. There is also a partial (~9-10%) substitution of Sr for Mo or Rh qualitatively explained in section 3.2. This subtle
effect is a product of the optimization process in which a small trade-off between n and k and the thicknesses of the various
materials are made for optimum reflectivity performance. Similar arguments are consistent with data obtained for Be-based
stacks for 11.3 nm operation.
An analysis of variable partition ratio stacks for EUV was carried out by Spiller [3] and Meekins et. al. [10] who
concluded that the periodic designs exhibit only slightly lower reflectivities than the fully optimized designs for a large
(semi-infinite) number of layers. Whilst we agree that the change in the peak reflectivity is small (~0.5-1.0%) for a 50period Mo/Si stack, and tends to zero for a quasi-infinite stack, this increase becomes significant when the throughput of a
9-reflector system is considered. We have shown that the peak reflectivity of optimized 50-period Mo/Si stacks with
suitable capping layers can be increased significantly such that the optical throughput of a 9-reflector optical system can be
enhanced by as much as ~30%. Significantly, the insertion of additional components into the stack, and subsequent
optimization, serves to enhance further the value of R9int. In the case of the Mo/Be system, the gain in R9int is more
pronounced and the inclusion of additional components within the stack and the choice of capping layer is crucial - yielding
R9int enhancement of 50-100%.
We would like to point out that despite our only having modeled idealized multilayer stacks, we believe that similar
enhancement can be achieved in real multilayers by partition ration optimization and oxygen-free low n capping layer
deposition. It is evident that our idealized Mo/Si stack is in reality a MoSix/Mo/MoSix/Si due to inevitable interfacial silicide
formation. Our new multicomponent structures may include e.g. Ru and Rh, and astonishingly, despite the overwhelming
suitability of these metals for the 11-14 nm spectral region, there is only limited experimental data available on interfacial
interdiffusion and scattering at, for example, the Ru/Si boundary [21]. Indeed more data is required regarding the Ru/Mo
and the Rh/Mo interface. We shall concentrate future work on experimentally realizing the multilayer structures numerically
modeled in this work.
6. CONCLUSION
We have shown that theoretical reflectivity enhancements for EUV reflectors tuned for the 11-14 nm spectral region can be
acquired by numerical global optimization techniques, in conjunction with the incorporation of additional materials
interleaved in the standard stack layers such that the quarter-wavelength character of the stack is maintained. The addition
of one or more of Ru, Rh and Sr in a Mo/Si multilayer stack can enhance the optical throughput of a 9-mirror system by
~40%, and by 50-100% in the Be-based structures. We believe this work represents a new approach for the design and
optimization of multilayer EUV reflectors, which may be crucial for the next generation of projection lithographic tools.
REFERENCES
1.
2.
3.
R.H. Stulen and D.W. Sweeney, "Extreme ultraviolet lithography," IEEE J. Quantum Electron. 35, 694-699 (1999).
C.W. Gwyn, R. Stulen, D. Sweeney, and D. Attwood, "Extreme ultraviolet lithography," J. Vac. Sci. Technol. B16,
3142-3149 (1998).
E. Spiller, Soft X-Ray Optics (SPIE Optical Engineering Press, Bellingham, WA, 1994), ch. 8.
7
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
K.M. Skulina, C.S. Alford, R.M. Bionta, D.M. Makowiecki, E.M. Gullikson, R. Soufli, J.B. Kortright, and J.H.
Underwood, "Molybdenum/beryllium multilayer mirrors for normal incidence in the extreme ultraviolet," Appl. Opt.
34, 3727-3730 (1995).
D.G. Stearns, R.S. Rosen, and S.P. Vernon, "Multilayer mirror technology for soft-X-ray projection lithography," Appl.
Opt. 32, 6952-6960 (1993).
A.V. Vinogradov and B. Ya. Zeldovich, "X-ray and far UV multilayer mirrors: principles and possibilities," Appl. Opt.
16, 89-93 (1977).
C.K. Carniglia and J.H. Apfel, "Maximum reflectance of multilayer dielectric mirrors in the presence of slight
absorption," J. Opt. Soc. Am. 70, 523-534 (1980).
J.H. Underwood and T.W. Barbee, "Layered synthetic microstructures as Bragg diffractors for X-rays and extreme
ultraviolet: theory and predicted performance," Appl. Opt. 20, 3027-3034 (1981).
B. Vidal and P. Vincent, "Metallic multilayers for X-rays using classical thin-film theory," Appl. Opt. 23, 1794-1801
(1984).
J.F. Meekins, R.G. Cruddace, and H. Gursky, "Optimization of layered synthetic microstructures for narrowband
reflectivity at soft X-ray and EUV wavelengths," Appl. Opt. 25, 2757-2763 (1986).
M. Born and E. Wolf, Principles of Optics, 7th ed. (Cambridge University Press, Cambridge, 1999), pp. 58-74.
D.W. Berreman, "Optics in stratified and anisotropic media: 4×4-matrix formulation," J. Opt. Soc. Am. 62, 502-510
(1972).
P.G. Verly, A.V. Tikhonravov, and M.K. Trubetskov, "Efficient refinement algorithm for the synthesis of
inhomogeneous optical coatings," Appl. Opt. 36, 1487-1495 (1997).
M. Singh and J.J.M. Braat, " Design of multilayer extreme ultraviolet mirrors for enhanced reflectivity," Appl. Opt., in
press.
A.V. Tikhonravov, "Some theoretical aspects of thin-film optics and their applications," Appl. Opt. 32, 5417-5426
(1993).
J.A. Dobrowolski and R.A. Kemp, "Refinement of optical multilayer systems with different optimization procedures,"
Appl. Opt. 29, 2876-2893 (1990).
B.L. Henke, E.M. Gullikson, and J.C. Davis, "X-ray interactions: photoabsortion, scattering, transmission and reflection
at E = 50-30,000 eV, Z = 1-92," At. Data Nucl. Data Tables 54, 181-342 (1993); http://wwwcxro.lbl.gov/optical_constants.
M. Yamamoto, M. Yanagihara, A. Arai, J. Cao, N.T. Mizuide, and T. Namioka, "Multilayer mirrors for use as
wavelength-selective filter around 100 eV," Rev. Sci. Instrum. 60, 2010-2013 (1989).
M. Yanagihara, T. Maehara, H. Nomura, M. Yamamoto, and T. Namioka, "Performance of a wideband multilayer
polarizer for soft X-rays," Rev. Sci. Instrum. 63, 1516-1518 (1992).
D.-E. Kim, S.-M. Lee, and I. Jeon, "Transmission characteristics of multilayer structure in the soft X-ray spectral region
and its application to the design of quarter-wave plates at 13 and 4.4 nm," J. Vac. Sci. Technol. A17, 398-402 (1999).
D.L. Windt, R. Hull, and W.K. Waskiewicz, "Interface imperfections in metal/Si multilayers," J. Appl. Phys. 71, 26752678 (1992).
M. Yanagihara, T. Maehara, S. Gunadi, M. Asano, and T. Namioka, "In situ performance tests of soft-X-ray multilayer
mirrors exposed to synchrotron radiation from a bending magnet," Appl. Opt. 31, 972-976 (1992).
E. Spiller and A.E. Rosenbluth, "Determination of thickness errors and boundary roughness from the measured
performance of a multilayer coating," Opt. Eng. 25, 954-963 (1986).
E. Spiller, "Enhancement of the reflectivity of multilayer X-ray mirrors by ion polishing," Opt. Eng. 29, 609-613
(1990).
8