Download Review on Low Power Theme over VLSI Design Circuit

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

Surge protector wikipedia , lookup

Valve RF amplifier wikipedia , lookup

Decibel wikipedia , lookup

Electronic engineering wikipedia , lookup

Power MOSFET wikipedia , lookup

Integrated circuit wikipedia , lookup

Standby power wikipedia , lookup

Rectiverter wikipedia , lookup

Radio transmitter design wikipedia , lookup

Power electronics wikipedia , lookup

Audio power wikipedia , lookup

CMOS wikipedia , lookup

Switched-mode power supply wikipedia , lookup

Captain Power and the Soldiers of the Future wikipedia , lookup

Transcript
ISSN(Online): 2320-9801
ISSN (Print): 2320-9798
International Journal of Innovative Research in Computer
and Communication Engineering
(An ISO 3297: 2007 Certified Organization)
Vol. 2, Issue 11, November 2014
Review on Low Power Theme over VLSI
Design Circuit
Prajakta Ashok Khedkar1, Ashish Raghuwanshi2
M.Tech, Dept. of EC, IES College of technology, Bhopal, India 1
Assistant Professor, Dept. of EC, IES College of technology, Bhopal, India 2
ABSTRACT: Low power has developed as an important subject in today's universe of gadgets commercial enterprises.
Power dispersal has turned into an essential attention as execution and zone for VLSI Chip plan. With contracting
innovation lessening force utilization and over all force administration on chip are the key difficulties beneath 100nm
because of expanded many-sided quality. For some outlines, improvement of force is vital as timing because of the
need to diminish bundle cost and amplified battery life. Exact displaying and assessing of the force scattering in the
early phases of the configuration stream is getting to be more paramount, as the forceful scaling of transistors brings
about higher spillage flows. New and complex frameworks are continuously executed utilizing very exceptional
Electronic Design Automation (EDA) devices.
KEYWORDS: Power Dissipation, low power, process nodes, leakage current, power management.
I.
INTRODUCTION
The focal point of using a mixture of low-power parts in conjunction with low-power outline systems is more
significant now than at any other time. Prerequisites for lower power utilization keep on increasing altogether as parts
get to be battery-controlled, littler and require more usefulness. In the past the real attentiveness toward the VLSI
fashioners was region, execution and expense. Power thought was the auxiliary concerned. Presently a day's energy is
the essential concerned because of the astounding development and accomplishment in the field of individualized
computing gadgets and remote correspondence framework which request high velocity processing and complex
usefulness with low power utilization. The inspirations for lessening force utilization contrast application to
application. In the class of micro-fueled battery worked versatile applications, for example, Pdas, the objective is to
keep the battery lifetime and weight sensible and bundling cost low.
For elite versatile machines, for example, portable computer the objective is to decrease the force dispersal of the
gadgets share of the framework to a point which is about a large portion of the aggregate force dissemination. At long
last for the elite non battery worked framework, for example, workstations the general objective of force minimization
is to diminish the framework expense while guaranteeing long haul gadget unwavering quality. For such superior
frameworks, process innovation has driven force to the fore front to all variables in such outlines. At procedure hubs
beneath 100 nm innovation, power utilization because of spillage has joined exchanging action as an essential force
administration concern.
There are numerous procedures that have been created over the previous decade to address the constantly forceful force
decrease prerequisites of the majority of the superior. The essential low-power plan strategies, for example, clock
gating for lessening element force, or different voltage limits (multi-Vt) to diminishing spillage current, are entrenched
and upheld by existing devices. From figure 1 we can dissect what number of changes happens in circuit outline
utilizing force dispersal.
Copyright to IJIRCCE
www.ijircce.com
6428
ISSN(Online): 2320-9801
ISSN (Print): 2320-9798
International Journal of Innovative Research in Computer
and Communication Engineering
(An ISO 3297: 2007 Certified Organization)
Vol. 2, Issue 11, November 2014
Figure 1: Evolution in Power dissipation
II.
LOW POWER METHOD
There (table-1) are dissimilar methods obtainable at dissimilar stage in VLSI design progression for optimizing the
power consumption:
Table -1, Methods for low power designs
Design stage
Operating System
Level
Software level
Architecture level
Methods
Portioning, Power down
Regularity, locality, concurrency
Pipelining, Redundancy, data encoding
Circuit /Logic level
Logic styles, transistor sizing and energy
recovery
Technology Level
Threshold reduction, multi threshold devices
Effective power management is potential by utilizing the dissimilar methods at many levels in VLSI Design procedure.
So designers require a clever way for optimizing power utilization in designs.
III.
POWER DISSIPATION
In a circuit three parts are in charge of force dissemination: element force, short out force and static force. Out of these,
element power or exchanging force is fundamentally power disseminated when charging or releasing capacitors and is
portrayed beneath [5, 6]:
Pdyn = CL Vdd2 _α f
(1)
Where CL : Load Capacitance, a utility of fan-out, wire length, and transistor mass, Vdd: Supply Voltage, which has
been falling with consecutive process joints, _: Activity issue, meaning how often, on average, the wires switch, f
:Clock Frequency, which is rising at each consecutive route node. Static power or leakage power is a utility of the
provide voltage (Vdd), the switching threshold (Vt), and transistor mass (figure2). As route nodes contract, leakage turn
into a further major source of energy utilizes, consuming at least 30% of whole power. Crowbar currents, reason when
together the PMOS and NMOS devices are at the same time on, also donate to the leakage power dissipation [17]. Most
circuit point minimization methods center only on Sub threshold leakage lessening without allowing for the effects of
gate leakage. For this MTCMOS plan has been planned for decreasing of sub threshold leakage current in sleep form.
Figure-2 shows a variety of parts accountable for power dissipation in CMOS.
Copyright to IJIRCCE
www.ijircce.com
6429
ISSN(Online): 2320-9801
ISSN (Print): 2320-9798
International Journal of Innovative Research in Computer
and Communication Engineering
(An ISO 3297: 2007 Certified Organization)
Vol. 2, Issue 11, November 2014
Figure 2, Power Dissipation in CMOS
A.
Sources of Power Dissipation
For the most part, power is devoured when capacitors in the circuits are either charged or released because of
exchanging exercises. So at larger amounts of a framework this force dissemination is monitored by diminishing the
exchanging exercises which is carried out by closing down parts of the framework when they are not required.
Expansive VLSI circuits contain diverse parts like a processor, a practical unit and controllers. The thought of force
diminishment is to stop any of the segments of the processor when they are not required with the goal that less power
will be disseminated when the processor is working.
B.
Static Power
Static power is the power dissolved by a gate when it is not controlling that is, when it is stopped or static. Preferably,
CMOS (Complementary Metal Oxide Semiconductor) circuits dissolve no static (DC) power since in the stable state
there is no straight path from Vdd to ground.
C.
Dynamic Power
Element force is the force disseminated when the circuit is dynamic. A circuit is dynamic whenever the voltage on net
changes because of a few boost connected to the circuit. As such, element power scattering is brought on by the
charging.
IV.
POWER MINIMIZATION TECHNIQUES
This segment locations (table-2) the distinctive methodologies to minimize the force at diverse levels. This after table
shows distinctive systems to minimize the force at diverse stages Also utilized as a part of today's for minimizing force.
Table-2 shows the some of the low power techniques used today
Traditional
Techniques
Dynamic Power
Reduction
Leakage
reduction
Clock Gating
Clock Gating
Minimize usage of low Vt
cells
Multi Oxide devices
Power Gating
Power Efficient
Techniques
Power Gating
Minimize
capacitance by
custom design
Variable Frequency
Variable Frequency
Back Biasing
Power efficient
circuits
Copyright to IJIRCCE
www.ijircce.com
power
Other Power
reduction
Techniques
6430
ISSN(Online): 2320-9801
ISSN (Print): 2320-9798
International Journal of Innovative Research in Computer
and Communication Engineering
(An ISO 3297: 2007 Certified Organization)
Vol. 2, Issue 11, November 2014
A.
Variable Voltage Supply
Variable Voltage Supply
Reduce Oxide Thickness
Variable
Threshold
Variable Island
Use Fin FET
Device
Reducing Chip and package capacitance
This can be accomplished through methodology improvement, for example, SOI with mostly or completely drained
wells, CMOS scaling to submicron gadget sizes and progressed interconnect substrates, for example, multi chip
module (MCM). This methodology can be extremely successful however is additionally exceptionally lavish.
B.
Scaling the supply voltage (Voltage Scaling)
This draw near can be very useful in dropping the power dissipation, but frequently needs new IC fabrication
processing.
C.
Using power management techniques
Powerful power administration includes determination of the right engineering, the utilization of upgraded libraries, IP
(licensed innovation), and outline strategy. Figure-3 demonstrates the compelling force administration method.
Figure 3: Technology selection for effective power management
D.
CAD Methodologies and Technique
Today's EDA apparatuses adequately help these force administration methods. They additionally give extra power
funds amid usage. Low power VLSI plans can be accomplished at different levels of the outline deliberation from
algorithmic and framework levels down to design and circuit levels.
Figure4: Tradeoff between leakage and Power
Copyright to IJIRCCE
www.ijircce.com
6431
ISSN(Online): 2320-9801
ISSN (Print): 2320-9798
International Journal of Innovative Research in Computer
and Communication Engineering
(An ISO 3297: 2007 Certified Organization)
Vol. 2, Issue 11, November 2014
E.
Low Power management in Physical Design
Physical outline devices decipher the force plan and execute the design effectively, from situation of extraordinary cells
to steering and improvement crosswise over force spaces in the vicinity of numerous corners, modes, and force states,
in addition to assembling variability. This interim shutdown time can likewise call as "low power mode" or "inert
mode". At the point when circuit squares are needed for operation at the end of the day they are enacted to "dynamic
mode".
Table-3 provides the trade-off linked with the different power management techniques.
Power
Reduction
Technique
Multi Vt
optimizatio
n
Clock
Gating
Multi
supply
voltage
Power Shut
off
Dynamic
and
adaptive
voltage
frequency
scaling
Substrate
Biasing
Power
Benefi
t
Mediu
m
Timing
Penalty
Area
Penalty
Methodology Impact
Little
Little
Architecture
Design
Verificatio
n
Implementatio
n
Mediu
m
Large
Little
Little
Low
Low
None
Low
Some
Little
Low
Mediu
m
Low
Medium
Huge
Some
Some
High
High
High
High
Large
Some
Some
High
High
High
High
Large
Some
Some
Medium
None
None
High
Table-3 Trade off associated with power management techniques.
V.
CONCLUSION
The requirement for lower power frameworks is continuously determined by numerous business portions. Shockingly
planning for low power adds an alternate measurement to the officially intricate configuration issue and the outline
must be advanced for force and Performance and Area. It would have respected come close the estimations of circuits
than best PA. Dad circuits are created so they have got the best Power and Area. For greater circuits this quality has
turned out to be more than their Default circuit. The force results got utilizing Power Compiler utilizing RTL Switching
Activity , Power Compiler utilizing Gate-Level Switching utilized force portrayed library gave by TSMC 65nm
engineering library,. Force and Area being the three significant imperatives in outlining advanced circuits there are
applications like strategic rocket applications and other protection related tasks that would oblige circuits to be kept in a
more diminutive zone.
ACKNOWLEDGMENT
The correspondence author is thankful to all the faculty members of department of Electronics & Communication, IES
College of Technology Bhopal, M.P. and India for continuous support and encouragement. This is a prospect to
establish our technical skill for the advantage of social civilization.
Copyright to IJIRCCE
www.ijircce.com
6432
ISSN(Online): 2320-9801
ISSN (Print): 2320-9798
International Journal of Innovative Research in Computer
and Communication Engineering
(An ISO 3297: 2007 Certified Organization)
Vol. 2, Issue 11, November 2014
REFERENCES
1. Michael Keating, David Flynn, Robert Aitken, Ala Gibsons and Kaijian Shi, “Low Power Methodology Manual for System on Chip Design”,
Springer Publications, New York, 2007.
2. Creating Low-Power Digital Integrated Circuits The Implementation Phase, Cadence, 2007.
3. Liu, Weidong, Xiaodong Jin, Xuemei Xi, James Chen, Min-Chie Jeng, Zhihong Liu, Yuhua Cheng, Kai Chen, Mansun Chan, Kelvin Hui, Jianhui
Huang, Robert Tu, Ping K Ko, and Chenming Hu, BSIM3v3.3 MOSFET Model User's Manual, Department of Electrical Engineering and
Computer Sciences, University of California-Berkeley, 2005.
4. Glasser, Lance A, and Daniel W Dobberpuhl, TheDesign and Analysis of VLSI Circuits, Addison-Wesley Publishing Co, 1985.
5. J. Flynn, B. Waldo, “Power management in complex SoC design,” http://www.synopsys.com/sps.
6. Chandrakasan et.al, “Design considerations and tools for low voltage digital system design,” in proc. 33rd, Design Automation Conference,
pp.113-118,199.
7. Y. Ye, S. Borkar, and V. De, “A new technique for standby leakage reduction in high-performance circuits,” in Symp. VLSI Circuits Dig. Tech.
Papers, 1998, pp. 40–41.
8. Sagahyroom.A, Placer.J, Burmood.M, Massoumi.M “A VHDL-based simulation methodology for estimating switching activity in static CMOS
circuits,” in proc. IEEE SAIC conf. 1988, pp.295-300.
Copyright to IJIRCCE
www.ijircce.com
6433