Download Titanium nitride thin-film bias resistors for AC coupled segmented

Document related concepts

Nuclear physics wikipedia , lookup

Electrical resistance and conductance wikipedia , lookup

Electrical resistivity and conductivity wikipedia , lookup

Gamma spectroscopy wikipedia , lookup

Atomic theory wikipedia , lookup

Transcript
Titanium nitride thin-film bias resistors
for AC coupled segmented silicon detectors
Master’s thesis
Jennifer Ott
University of Helsinki
Faculty of Science
Department of Chemistry
Laboratory of Radiochemistry
Supervisor:
Prof. h.c. Dr. Jaakko Härkönen
Helsinki Institute of Physics
Abstract [on separate form]
Silicon detectors with spatial resolution, such as strip and pixel detectors, are essential for highenergy physics experiments, where they are exposed to very high luminosities and particle
fluences. Radiation causes damage in silicon and deteriorates detector performance. The main
consequences of radiation damage are the increase in depletion voltage, the increase in detector
leakage current leading to a worse signal-to-noise ratio, and the decrease in charge collection
length and efficiency. One solution for mitigating the effect of increased leakage current is the use
of capacitive coupling, which permits the separation of a signal from the leakage current in the
front-end electronics. This requires a bias resistor for each detector segment, with a suitable value
of resistance in order to allow biasing of the detector while isolating the individual segments from
each other.
In this thesis, titanium nitride (TiN) is studied as potential bias resistor material in the form of very
thin films with thicknesses of around 20 nm. Its resistivity at smaller resistor dimensions is
expected to be sufficiently high to permit the fabrication of very small segments, for example
pixels with an area of 25 × 25 µm2. This would compensate the decrease in charge collection
length and efficiency caused by radiation damage. Furthermore, TiN is expected to be far less
sensitive to radiation damage than the polycrystalline silicon commonly used as bias resistor, and
it can be deposited at lower temperatures compatible with standard semiconductor processing.
In order to deposit suitable TiN thin films with good accuracy and repeatability, a new process of
plasma-enhanced atomic layer deposition (PEALD) was developed. Crystallinity, conformality,
surface morphology, chemical composition and resistivity of the films obtained this way were
studied. The new PEALD process allowed for the deposition of good-quality TiN thin films at 300 °C
from the routinely used and easily available precursors TiCl4 and NH3.
Two TiN films were processed into bias resistor test structures, which were then tested for
radiation hardness by irradiation with protons and photons of different energies. As expected, the
radiation hardness of the resistors was excellent and none of the performed irradiations had any
effect on the resistors’ performance. It was discovered that relatively short annealing treatments,
which are used routinely for sintering of aluminium, increased the resistance of the test structures
significantly. This presents a very simple and straightforward way to tune the resistance of the TiN
resistor structures to a desired value.
It was concluded that TiN resistors fabricated in the way presented in this thesis have great
potential for use in segmented silicon particle detectors.
Tiivistelmä [erilliselle lomakkeelle]
Paikkaresoluutiota tarjoavat pii-ilmaisimet, kuten pikseli- ja raitadetektorit, ovat keskeisiä
korkeaenergiafysiikan kokeissa, joissa ne kuitenkin altistuvat suurelle hiukkasvuolle ja korkeille
säteilyannoksille. Säteily aiheuttaa vaurioita piissä ja heikentää detektorin toimintakykyä.
Säteilyvauroiden pääasialliset seuraukset ovat tyhjennysjännitteen nousu, korkeampi vuotovirta,
joka huonontaa signaali-tausta-suhteen, ja heikkenevä varauskeruutehokkuus ja –matka. Yksi
mahdollinen ratkaisu korkean vuotovirran kompensoimiseksi on kapasitiivinen kytkentä, jolla
signaali voidaan erottaa vuotovirrasta detektorielektroniikan avulla. Tähän tarvitaan oma biasvastus jokaiselle detektorin segmentille, jolla on sopiva sekä detektorin tyhjennystä että
segmenttien erottamista toisistaan salliva resistanssi.
Tässä työssä tutkitaan titaaninitridiä (TiN) bias-vastusmateriaaliksi ohuiden, noin 20 nm paksuisten
ohutkalvojen muodossa. Sen resistiivisyyden odotetaan olevan myös pienillä vastusten mitoilla
riittävä mahdollistamaan hyvin pienten detektorisegmenttien tuottamista, esimerkiksi 25 × 25 µm2
pinta-alan pikseleitä. Tällä voitaisiin kompensoida säteilyn aiheuttamaa laskua
varauskeruutehokkuudessa ja –matkassa. Lisäksi TiN:n oletetaan olevan selvästi vähemmän herkkä
säteilyvaurioille kuin yleisesti bias-vastuksena käytetty monikiteinen pii (polycrystalline silicon eli
poly-Si), ja sitä voidaan kasvattaa alhaisemmissa, tavallisen puolijohdeprosessoinnin kanssa
yhteensopivissa lämpötiloissa.
Sopivien TiN-ohutkalvojen tarkkaa ja toistettavaa kasvattamista varten kehitettiin uusi prosessi
plasma-avusteiseen atomikerroskasvatukseen (plasma-enhanced atomic layer deposition, PEALD).
Kasvatettujen kalvojen kiteisyys, konformaalisuus, morfologia, kemiallinen koostumus ja
resistiivisyys tutkittiin. Kehitetty PEALD-prosessi mahdollistaa hyvälaatuisten TiN-ohutkalvojen
kasvattamista 300:ssa asteessa käyttäen hyväksi yleisesti käytetyt ja helposti saatavat lähdeaineet
TiCl4 ja NH3.
Kahta TiN-ohutkalvoa prosessoitiin bias-vastuksiksi ja näiden säteilynkestävyyttä tutkittiin
säteilyttämällä niitä erienergisillä protoneilla ja fotoneilla. Kuten odotettiinkin, vastusten
säteilynkestävyys oli erinoimainen, eikä mikään suoritetuista säteilytyksistä vaikuttanut kalvojen
rakenteeseen taikka vastusten toimivuuteen. Suhteellisen lyhyiden, rutiininomaisesti
alumiinikontaktien sintrauksessa käytettyjen kuumennusten huomattiin nostavan vastusten
resistanssia selkeästi. Tämä tarjoaa yksinkertaisen ja suoraviivaisen tavan nostaa TiN-vastusten
resistanssin halutulle tasolle.
Edellisten perusteella pääteltiin, että tässä työssä valmistetut TiN-ohutkalvovastukset ovat erittäin
lupaavia käyttöön paikkaherkissä pii-ilmaisimissa.
Table of contents
1 Introduction .................................................................................................................................................... 1
2 Background ..................................................................................................................................................... 4
2.1 Interaction of ionizing radiation with matter .......................................................................................... 4
2.1.1 Electrons ........................................................................................................................................... 5
2.1.2 Charged particles .............................................................................................................................. 6
2.1.3 Photons ............................................................................................................................................. 8
2.1.4 Radiation damage cascade and displacement damage in solids.................................................... 10
2.2 Silicon detectors .................................................................................................................................... 12
2.2.1 Operation principle......................................................................................................................... 12
2.2.2 Radiation damage in silicon detectors .......................................................................................... 17
2.2.3 Bias resistors: properties of polycrystalline silicon; alternative materials ..................................... 20
2.3 Titanium nitride ..................................................................................................................................... 26
2.3.1 Structure and applications of titanium nitride ............................................................................... 26
2.3.2 Radiation damage in titanium nitride............................................................................................. 30
2.4 Atomic layer deposition......................................................................................................................... 35
2.4.1 Introduction to atomic layer deposition ........................................................................................ 35
2.4.2 Plasma-enhanced atomic layer deposition .................................................................................... 37
2.4.3 Thermal and plasma-enhanced atomic layer deposition of titanium nitride thin films: focus on
TiCl4 as titanium source ........................................................................................................................... 39
3 Introduction to the experimental section .................................................................................................... 50
4 Materials and methods................................................................................................................................. 52
4.1 Plasma-enhanced atomic layer deposition of TiN thin films ................................................................. 52
4.1.1 Setup and experiments................................................................................................................... 52
4.1.2 Film characterization ...................................................................................................................... 57
4.2 Processing of TiN films into bias resistor structures ............................................................................. 58
4.2.1 Preliminary tests ............................................................................................................................. 58
4.2.2 Photolithography of TiN ................................................................................................................. 59
4.2.3 Photolithography of Al probing pads ............................................................................................. 64
4.3 Resistance measurements ..................................................................................................................... 67
4.4 Annealing ............................................................................................................................................... 68
4.5 Irradiation with 10 MeV protons ........................................................................................................... 70
4.5.1 Calibration ...................................................................................................................................... 73
4.5.2 Experiments .................................................................................................................................... 76
4.6 Irradiation with 24 GeV/c protons......................................................................................................... 77
4.7 Irradiation with gamma rays ................................................................................................................. 79
4.8 Irradiation with X-rays ........................................................................................................................... 79
5 Results and discussion .................................................................................................................................. 82
5.1 TiN thin films deposited by plasma-enhanced atomic layer deposition ............................................... 82
5.1.1 Process parameters ........................................................................................................................ 82
5.1.2 Film density and crystallinity .......................................................................................................... 85
5.1.3 Surface morphology and film conformality with field-emission scanning electron microscopy ... 91
5.1.4 Surface morphology with atomic force microscopy....................................................................... 94
5.1.5 Analysis of chemical composition................................................................................................... 97
5.1.6 Resistivity of TiN films and the influence of chemical composition and parameters .................. 101
5.1.7 Observations on film adhesion and film color.............................................................................. 105
5.2 Resistance measurements: Homogeneity of resistors over a wafer half ............................................ 106
5.3 Annealing ............................................................................................................................................. 108
5.4 Irradiation experiments ....................................................................................................................... 113
5.4.1 Irradiation with 10 MeV protons .................................................................................................. 113
5.4.2 Irradiation with 24 GeV/c protons ................................................................................................ 118
5.4.3 Irradiation with gamma rays ........................................................................................................ 119
5.4.4 Irradiation with x-rays .................................................................................................................. 120
6 Conclusions ................................................................................................................................................. 121
7 References .................................................................................................................................................. 128
1 Introduction
High-energy physics research aims to provide answers to essential questions of particle
physics. In the 21st century, answers to many problems have been found, but always new
questions arise. Central questions in modern physics are the validity of the Standard
Model, the existence of physics beyond the Standard Model, the reasons for the
imbalance of matter and antimatter and the identity of dark matter. The fast
development of physics and technology offers previously unseen opportunities to
experimentally verify (or belie) existing theories that could help understanding the laws
of physics and our universe. The most famous example of this is the discovery of a
particle compatible with the Higgs boson (ATLAS Collaboration 2012) which was predicted
theoretically almost 50 years earlier (Higgs 1964). This heavy particle with a mass of ca.
126 GeV (ATLAS Collaboration 2012, Giardino et al. 2012) is one manifestation of the
Higgs field, which provides mass to elementary particles without violating gauge
invariance and could be responsible for the breaking of electroweak symmetry (ALEPH
Collaboration et al. 2003, Giardino et al. 2012). However, glancing into the realm of
subatomic particles requires very high energies to overcome the strong force binding
them together. These are reached by accelerating and colliding particles, most commonly
protons, and studying the fragments and decay products emerging from the collisions.
Since the start of its operation in 2010, the largest and most powerful accelerator has
been the Large Hadron Collider (LHC) at the European Organization for Nuclear Research
(CERN) in Geneva, Switzerland, with a circumference of 27 km. In its first run between
2010 and 2013, which led to the discovery of the potential Higgs boson particle, proton
beam energies of 4 TeV and thus center-of-mass energies of 8 TeV were reached (Jakobs
2011). After an upgrade with the goal of reaching energies of 14 TeV, the LHC was
restarted in 2015. Already now more powerful accelerators for reaching even higher
energies are envisioned (Reich 2013).
1
A central role in high-energy physics experiments is played by the detector technology,
because the fragments produced in the particle collisions have to be characterized as
completely and accurately as possible. Conclusions on the nature of the collision event
and further the constitution of the original particles can be made by applying the laws of
conservation of energy and momentum to the experimental data. By analyzing the path
of a fragment in a strong, external magnetic field, information about its momentum is
obtained, and its stopping in a different, calorimetric detector allows determination of its
energy. The detectors in high-energy physics experiments are large, multilayer
constructions, because different types of particles – photons, hadrons, electrons – require
different detectors to be detected most accurately, and high-energy particles can be
stopped only in a large amount of absorbing material. (Hartmann and Sharma 2012)
The particles continue into more particle-type specific calorimeter detectors only after
passing the position-sensitive detectors in the experiments’ inner layer, the so-called
trackers. Position sensitivity is achieved by dividing the detector into segments: pixels for
spatial resolution in two dimensions as innermost detector layers, and strips for
resolution in one dimension outside the pixel detectors (Hartmann 2012). Resolution in
the third dimension is reached by installing several layers of segmented detectors. As
opposed to the calorimeters, the trackers should disturb the measured particles as little
as possible (Moser 2009), and are therefore based on light materials.
Silicon detectors enable precise tracking through high granularity, fast response and good
radiation hardness – in addition to the inexpensiveness and processability of silicon, these
are the reasons why all four large LHC experiments use silicon detectors in their central
tracking systems. (Turala 2005, Moser 2009, Hartmann 2012) The world’s largest silicon
detector is hosted by the Compact Muon Solenoid (CMS) experiment: an active silicon
detector area of approximately 210 m2 (Turala 2005, Hartmann 2012) contains 66 million
pixels with areas of 100 × 150 µm2 and almost 10 million 80 µm × 10 cm microstrips
(Hartmann 2012).
2
The silicon tracker detectors are located in the immediate proximity of the beam collision
point. This exposes them to the highest particle energies and the highest luminosities,
both of which are planned to be increased further in the future. For example the High
Luminosity (HL) LHC upgrade, proposed to be performed after ten years of LHC operation,
has the goal of reaching a luminosity of 1035 cm-2 s-1 (Jakobs 2011). This increases the
already very high levels of radiation the tracker detectors have to resist.
Many researchers, among them the RD-50 collaboration on radiation hard semiconductor
devices for very high luminosity colliders, are working on improving the radiation
hardness of silicon or on developing new detector concepts, electronic solutions and
materials for mitigating the effects of radiation (Moll 2003, Casse 2009, Dierlamm 2010).
In this thesis, the optimization of the radiation hardness of segmented silicon detectors is
approached from the side of detector layout and front-end readout electronics. The
decrease in charge collection length and efficiency at high radiation fluences is sought to
be compensated by increasing the granularity of the detector, i.d. decreasing the pixel
size. In addition, the concept of AC coupling to isolate the leakage current from signals,
which is already employed in e.g. the CMS microstrip detector (Hartmann 2012) is aimed
to be extended to the pixel detectors. An essential component in an AC coupled detector
is the bias resistor, which in order for the previous aims to be possible, needs to enable
the fitting of a sufficiently high resistance density into a small space. In the experimental
section of this thesis, titanium nitride thin-film bias resistors are deposited, characterized
and tested for their radiation hardness.
3
2 Background
In this chapter, background information about silicon detectors and the concept of AC
coupling is given. The macroscopic effects of radiation damage in silicon detectors are
summarized, based on the basic principles of the interactions of radiation with matter
and especially solid matter. The method of choice for thin film deposition in this case,
atomic layer deposition, is introduced, and literature on the deposition, structure and
applications of titanium nitride is reviewed.
2.1 Interaction of ionizing radiation with matter
The interaction of radiation with matter can be examined from many angles. In the
following, the different types of interaction of fast electrons, light charged particles – in
particular protons and alpha particles – and high-energy photons with atoms are
summarized. These are further divided into interactions with electrons, which are
responsible for the majority of energy loss of radiation in an absorber material, and
interactions with the nucleus or the nuclear field.
As neutral particles, neutrons do not interact with the atom’s electron cloud at all. In a
material, neutrons lose energy by inelastic scattering (moderation) or are captured, which
leads to nuclear reactions or even fission. Moderation is more effective for light elements,
while neutron capture occurs more readily in heavy materials with a strong dependence
on the resonance structure of the nuclei of individual isotopes. (Lamarsh and Baratta
2001) The behavior of neutrons is not covered in detail on a nuclear scale, but is
discussed again in Chapter 2.1.4 for microscopic effects of radiation in an absorber.
4
2.1.1 Electrons
Electrons do not participate in the strong interaction and therefore do not interact with
the nucleas via nuclear excitation or nuclear reactions. However, electrons that are
accelerated in the electric field of a nucleus emit bremsstrahlung radiation (Equation 1).
𝑑𝐸
𝑁𝐸𝑍(𝑍 + 1)𝑒 4
2𝐸
4
−( ) =
(4 ln
− )
2
2
4
𝑑𝑥 𝑟
𝑚0 𝑐
3
137𝑚0 𝑐
(1)
dE
where − dx is the energy loss over a certain path length, v is the velocity and ze the
charge of the primary particle. N is the number density and Z the atomic number of the
absorber material, and I an experimentally determined parameter describing the
excitation and ionization potential of the absorber. m0 is the mass of the electron and
1/137 the fine structure constant. (Knoll 2010)
The energy loss of electrons by bremsstrahlung is central in heavy materials and for high
electron energies. The total energy loss of an electron in an absorber material consists of
radiative energy loss by bremsstrahlung emission and Coulomb interactions (Equation 2)
with other electrons, in the form of excitation and ionization. (Choppin et al. 2002, Knoll
2010)
−(
𝑑𝐸
2𝜋𝑒 4
) =
𝑁 𝑍 (ln
𝑑𝑥 𝐶
𝑚0 𝑣 2
𝑚0 𝑣 2 𝐸
𝑣 2
𝑣 2
√
−
(ln
2)
(2
1
−
(
)
−
1
+
(
) )
𝑣 2
𝑐
𝑐
2
2𝐼 (1 − ( 𝑐 ) )
2
𝑣 2 1
𝑣 2
+ 1 − ( ) + (1 − √1 − ( ) ) )
𝑐
8
𝑐
(2)
v2
For non-relativistic particles, the terms containing c2 can be neglected, however,
electrons reach relativistic velocities already at relatively low energies due to their small
mass.
5
As fast electrons have the same (low velocities) or a similar mass (relativistic velocities) as
the their counterparts in the absorber material, they can transfer more energy in a single
collision. For the same reason, the direction of a fast electron can change more drastically
in collisions than for heavier particles, which explains the relatively long and complicated
paths characteristic for electrons. The presented interactions are the same for the
positron, the electron’s positively charged antiparticle. In addition, after reaching thermal
energies, positrons annihilate with absorber electrons, which gives rise to two
characteristic 511 keV gamma photons.
2.1.2 Charged particles
Protons, alpha particles and heavier charged particles, such as carbon ions, transfer
energy to the oppositely charged electrons via Coulomb forces, either exciting or directly
ionizing the absorber material’s atoms. The Bethe formula (Equation 3) describes the
dE
energy loss over a certain path length − dx (Knoll 2010):
−
𝑑𝐸
4𝜋𝑒 4 𝑧 2
2𝑚0 𝑣 2
𝑣2
𝑣2
=
𝑁
𝑍
(𝑙𝑛
−
ln
(1
−
)
−
)
𝑑𝑥
𝑚0 𝑣 2
𝐼
𝑐2
𝑐2
(3)
Even though the energy loss of a high-energy charged particle in a single collision is small
compared to the particle’s total kinetic energy, the literature does not speak of elastic
scattering from electrons for charged particles the same way as for electrons; it is
probably unlikely due to the large mass and charge of the particle radiation. However, the
phenomenon of elastic scattering of charged particles from the nucleus, known after its
discoverer as Rutherford scattering, has been central in the development of the atomic
model with extremely small nuclei carrying most mass of an atom (Kónya and Nagy 2012).
6
Inelastic scattering from the atomic nucleus also occurs for charged particles. The
occurrence of nuclear excitation, from which the nucleus can relax by internal conversion
or by emitting a gamma ray, exhibits a complicated dependence on angle and center-ofmass energy of the collision, and on the wave functions of nucleus and incident particle.
(Kantele 1995)
The transfer of kinetic energy from the incident particle to a nucleus and thus to the atom
is especially important in solids, where the displacement of an absorber atom from its
lattice site (Equation 4) forms defects (more in Chapter 2.1.4).
𝑛𝑑𝑖𝑠𝑝 =
𝐸𝑡𝑟
2𝐸𝑑𝑖𝑠𝑝
(4)
where ndisp is the number of displaced atoms, Etr the energy transferred by the primary
particle, and Edisp is the energy required to for the displacement of one atom. (Choppin et
al. 2002)
Instead of being scattered elastically or inelastically, a charged particle can be captured
by the nucleus to induce a nuclear reaction, where one or several particles are exchanged
and the nucleus is transmuted into an often unstable daughter nucleus (activation).
(Kantele 1995, Choppin et al. 2002) Normally, a nuclear reaction can only occur if the
incident particle has sufficient energy to overcome the Coulomb barrier between itself
and the likewise positively charged nucleus, which means e.g. energies of approximately
4.5 MeV for a proton or 8 MeV for an alpha particle, for a target nucleus mass number of
50 (Kantele 1995). Although tunnelling through the Coulomb barrier might occur, nuclear
reactions are usually negligible for lower energies. There is no generally applying equation
(in forms like e.g. Equation 3) for probabilities of nuclear reactions; they are instead
described by numerical, experimentally determined reaction cross-sections. These
depend on the individual isotope and the energy of the incident particle, and are
characteristic for the nuclear reaction in question. The cross-sections for (p, n) and (p, α)
reactions, for example, are different.
7
2.1.3 Photons
Of the radiation types discussed here, gamma rays undergo the widest range of
interactions with matter.
Elastical scattering of gamma rays from electrons plays a role only in very heavy
absorbers, such as lead, and low gamma energies of less than approximately 100 keV
(Kantele 1995, Choppin et al. 2002). Scattering from bound electrons or entire atoms is
called Rayleigh scattering, while scattering from free electrons, as found in metals, is
known as Thomson scattering. Elastic scattering from a nucleus, also known as Delbrück
scattering (Kantele 1995), is often overlooked in the literature. The probability of Rayleigh
scattering is agreed to be proportional to Z2; however, both Thomson and Delbrück
scattering are proportional to Z4 according to Kantele (1995), but only to Z according to
Kónya and Nagy (2012).
For photons with energies between 0.1 and 1 MeV, inelastic Compton scattering from
electrons ist the primary interaction mechanism. The energy of an inelastically scattered
photon depends on its angle according to Equation 5 (Knoll 2010).
𝐸𝛾′ =
𝐸𝛾
1+
𝐸𝛾
(1 − cos 𝜃)
𝑚0 𝑐 2
(5)
where Eγ is the energy of the photon before scattering, Eγ′ its energy after scattering, and
θ the scattering angle. The probability of Compton scattering increases proportionally to Z
and N, but decreases with increasing photon energy (Kantele 1995).
Inelastic scattering from or absorption by the nucleus leads to the excitation of the latter.
A special example of this is the Mössbauer effect, which describes the recoil-free
emission and absorption of gamma rays by a an isotope/nuclear isomer system and is
used for analysis of chemical composition (Choppin et al. 2002). Absorption of gamma
rays by the nucleus can induce nuclear reactions, such as (γ, p) or (γ, n), but these
demand photon energies that are extremely rare in natural decays, for example 10-20
MeV for some metals (Phillips et al. 1999).
8
Gamma rays can also transfer their entire energy to an electron, expelling it from its shell.
The same is possible for any photon whose energy is at least the binding energy Eb of an
electron, and is known as the photoelectric effect. The energy of the electron Ee is given
by Equation 6 (Knoll 2010).
𝐸𝑒 = 𝐸𝛾 − 𝐸𝑏
(6)
For gamma rays, the coefficient for the photoelectric effect is proportional to Z (Kónya
and Nagy 2012) and to N5 and Eγ−3.5 (Kantele 1995).
The perhaps most exotic property of gamma rays is the ability for pair production, the
inverse process of positron-electron annihilation: theoretically, any photon with higher
energy higher than 1.022 MeV (twice the rest mass of the electron) can transform into an
electron and a positron upon interaction with a third body, usually a nucleus (Knoll 2010).
In reality, pair production becomes significant only at higher energies, e.g. at 2 MeV in Al
or 9 MeV in Cu (Kantele 1995).
The probability for pair production increases in a slightly different way depending on the
photon energy range, as seen in Equations 7a and 7b for energies E > 1 MeV and E >> 1
MeV, respectively (Kantele 1995).
𝜅 ∝ 𝑁 𝑍 (𝐸 − 1.022 𝑀𝑒𝑉)
(7a)
𝜅 ∝ 𝑁𝑍 2 ln 𝐸
(7b)
where E is the photon energy and κ the coefficient for pair production.
9
2.1.4 Radiation damage cascade and displacement damage in solids
The effects of irradiation on any material are based on the entirety of the interaction
processes described above. In the following, the microscopic mechanisms for radiation
damage in solids are introduced.
Free electrons generated in the interaction of a heavier primary particle with the
absorber material can carry a substantial amount of kinetic energy, and cause a cascade
of further excitation and ionization in the vicinity of the primary particle’s path. Such
electrons are often referred to as delta rays. (Mozumder 1997, Was 2007) The events of
an electron cascade are presented in Table 1.
Table 1. The timescale of events and their results in an electron cascade (after Race et al. 2010
and lectures in Radiation Chemistry, M. Paronen and S. Makkonen-Craig 2014).
Time (s)
Event
Result
10-17 - 10-16
10-13 - 10-12
Energy transfer from the
incident electron
Energy transfer from delta rays
to other electrons
Electron-phonon coupling
ca. 10-10
Cooling of the lattice
Excitation of electrons, ionization
– Coulomb explosion
Electron cascade, cooling of hot
electrons
Energy transfer to atoms, lattice
heating, local melting – thermal
spike
Recombination of defects; defect
stabilization, cluster formation
10-15 - 10-14
The same principle also applies when a high amount of kinetic energy is transferred to an
entire atom, which is displaced from its site in the crystal lattice as primary knock-on
atom (PKA). However, the PKA can initiate a cascade of other displaced atoms when its
energy is reduced sufficiently for it to interact with other atoms and ions (Race et al.
2010). Displacement of an atom principally forms two defects: a vacancy at the atom’s
original position, and a self-interstitial at the site the displaced atom stopped at. These
pairs of self-interstitials and corresponding vacancies are called Frenkel pairs, and are
representants of point defects. (Abromeit 1994, Was 2007) The events of a displacement
cascade and their timescales are collected into Table 2.
10
Table 2. The timescale of events and their results in the displacement cascade (after Was 2007).
Time (s)
Event
Result
10-18
Energy transfer from the
incident particle
Displacement of lattice
atoms by the PKA
Energy dissipation,
spontaneous recombination
and clustering
Defect reactions by thermal
migration
Creation of a primary knockon atom (PKA)
Displacement cascade
10-13
10-11
> 10-8
Stable Frenkel pairs
(interstitial and vacancy) and
defect clusters
Interstitial and vacancy
recombination, clustering,
trapping, defect emission
Individual point defects can move in the crystal lattice by diffusion, and have a tendency
to accumulate together with other defects, forming defect complexes or even larger
clusters. The formation of point or cluster defects depends to some extent on the
radiation type: neutron and high-energy proton irradiation produces clusters, photons
and electrons primarily point defects (Abromeit 1994, Luukka 2006).
Radiation damage caused by neutrons is often simulated by irradiation with charged
particles due to the inconveniences associated with accessing facilities for neutron
irradiation, the year-long scale of the manifestation of neutron radiation damage and the
difficulty to vary irradiation parameters (Abromeit 1994).
Damage caused by various types of particle radiation is often scaled to damage done by 1
MeV neutrons (e.g. Moll et al. 1999, Luukka 2006), collecting contributing factors such as
the particle type, energy and fluence, as well as properties and thermal history of the
absorber material, into a correlation coefficient known as the hardness factor. The
quantity correlated is the number of displaced atoms, which implies that only nonionizing energy loss (NIEL) is taken into account. Due to the essential differences between
different types of radiation, the comparison between neutrons and for example charged
particles should be taken with caution. (Abromeit 1994, Moll 1999, Moser 2009)
11
2.2 Silicon detectors
Chapter 2.2.1 briefly explains the operation principle of silicon detectors relying, unless
otherwise indicated, on the excellent review articles of Dijkstra (2002), Moser (2009) and
Hartmann (2012). Chapter 2.2.2 presents the effects of radiation damage on silicon
detectors based mainly on Lindström et al. (1999), Moll (1999), Lindström (2003) and
Luukka (2006); for a more detailed analysis, the reader is directed to these references.
2.2.1 Operation principle
Silicon is an intrinsic semiconductor with a band gap of 1.1 eV. In order to define its
electrical properties, most importantly carrier concentration and thus conductivity, silicon
is implanted (doped) with ions. These introduce additional energy levels into the band
gap of silicon, and are divided into two groups according to their electron structure.
Group-III dopants, such as indium or boron, have one electron less than silicon and
therefore act as electron acceptors or alternatively hole donors. Acceptors form energy
levels close to the valence band, with ionization energies of approximately 0.05 eV.
Silicon doped with group-III atoms is referred to as p-type silicon. The opposite of this is
n-type silicon, which is achieved by doping with group-V atoms that have an additional
electron compared to silicon. Examples of these electron donor dopants are phosphorus
and arsenic. Their energy levels are close to the conduction band and have even lower
ionization energies, around 0.025 eV. (Sze and Ng 2007)
The operation of silicon detectors is based on the properties of the boundary between pand n-doped silicon. Typically, a detector consists of an n-type bulk with a smaller, but
more heavily doped p-type region, denoted as p+. The backside often contains a thin n+
layer. When p-and n-type regions are brought into contact with each other, the
respective charge carriers diffuse to some extent into the other region: holes diffuse from
p- into n-type, electrons in the opposite direction. This leads to an annihilation of charge
carriers at the p-n junction, leaving a space charge region, also called depletion zone,
without mobile carriers. The stationary dopant atoms remain there as positive (n-type) or
negative (p-type) ions, creating an electric field.
12
By applying a reverse bias, i.e. a positive voltage to the n-type region and negative voltage
to the p-type region, more charge carriers are drawn towards the electrodes and the
space charge region is widened. The thickness d of the space charge region is expressed
according to Equation 8:
𝑑=
2𝜖 𝑉𝑟𝑒𝑣
𝑞𝑁
(8)
where 𝜖 is the dielectric constant of silicon, q the elementary charge, Vrev an applied
reverse bias, and N the doping concentration.
Full depletion, when the space charge region extends through an entire silicon device
with thickness D, is achieved by applying a sufficiently high voltage Vfd (Equation 9).
𝑉𝑓𝑑
𝑞𝑁𝐷2
=
2𝜖
(9)
At this point, the silicon sensor can be compared to a capacitor (Equation 10) with a
capacitance C, area A and distance d between the electrodes:
𝐶=
𝐴𝜖
𝑑
(10)
A particle or photon with enough energy can excite electrons from the valence to the
conduction band. This leaves a hole in the valence band and effectively creates an
electron-hole pair. The energy required for the formation of such a pair in silicon is ca. 3.6
eV. Due to the electric field generated by the dopant ions in the space charge region, the
new charge carriers drift towards the electrodes (Equation 11) with a velocity v
⃗:
𝑣 = 𝜇𝐸⃗
(11)
where ⃗E is the electric field and µ is the mobility of the charge carrier. The mobility in
silicon is almost three times higher for electrons than for holes.
From the detector, the signal is transferred to the readout electronics. Perhaps the most
critical part of these is the preamplifier, which can hamper the detector performance and
add to the background noise if it is not optimized. Detailed information about the synergy
between actual detector and preamplifier is provided by De Geronimo et al. (2001).
13
In strip and pixel detectors, the p+ region into isolated smaller segments. Holes generated
by ionizing radiation are drawn towards a strip or pixel by its individual electric field, as
indicated in Figure 1. Spatial resolution is obtained by reading out each segment
separately through its own preamplifier and further readout channel.
Figure 1. Schematic cross-section through a strip detector (Hartmann 2012).
For both readout and biasing of the detector, different arrangements are possible, but at
least in silicon strip detectors the standard is AC coupling.
AC coupling, also known as capacitive coupling, can be used to transfer an AC signal
between circuit nodes while still keeping them separated from each other at different DC
potentials. This is achieved by a coupling capacitor that blocks the DC component of an
incident current – a DC current can charge a capacitor, but not pass through it – but
transfers the AC component. The propagation of the AC signal can be visualized as a
periodic charging-discharging of the capacitor, which is, due to the nature of a capacitor,
noticeable at both electrodes, not only at the one receiving the signal. The amplitude of
current and voltage of the transferred AC signal and their phase difference is determined
by the capacitor’s impedance.
The coupling capacitor is formed by the system of p+ implant and metallization, which act
as electrodes, and the SiO2 dielectric between them. Biasing and transmission of the DC
current blocked by the coupling capacitor occurs via the individual bias resistors of each
strip, which are connected to a common bias line. A schematic of an AC coupled strip
detector seen from above is presented in Figure 2.
14
Figure 2. Schematic of an AC coupled strip detector (Hartmann 2012).
A picture of a similar AC coupled detector visualizes that each strip has its own bias
resistor (Figure 3).
Figure 3. Example of an AC coupled strip detector, courtesy of J. Härkönen.
15
As opposed to strip detectors, for example the pixels in the CMS tracker are DC coupled,
and the metallization is in direct contact with the p+ region. In this configuration, socalled punchthrough resistors connected to an area of undoped silicon are used for
biasing– these consume considerable space (ca. 30 × 30 µm2), and the undoped silicon
does not contribute to signal detection (Figure 4).
Figure 4. DC coupled pixels as found in the CMS Pixel Detector with punch-through resistors in the
lower right corners. Courtesy of J. Härkönen.
16
2.2.2 Radiation damage in silicon detectors
The interaction of radiation in silicon follows the mechanisms described in Chapter 2.1.
The observable macroscopic effects of radiation damage on detector properties trace
back to the defects formed during the displacement cascade caused by an incident
energetic particle. In silicon, said defects exhibit certain electric properties that interfere
with detector performance: radiation-induced defects form additional states in the
semiconductor’s band gap. Depending on their position, more correctly energy, with
respect to the valence and conduction bands, these defects act in different ways (Figure
5).
Figure 5. Positions and effects of radiation-induced defects in the silicon band gap (Hartmann
2012).
The behavior of radiation-induced charged defects is very similar to that of the dopant
ions introduced into the silicon bulk intentionally (cf. Chapter 2.2.1). They occupy energy
levels in the band gap close to the valence or conductivity bands, for acceptors and
donors, respectively. Accordingly, this increases the doping concentration and therefore
the voltage needed to fully deplete the detector, at high radiation fluences up to several
hundreds of volts. High voltages are less convenient for both electronics and the detector
itself, which can break down at too high voltages.
17
It has been observed that the majority of radiation-induced charged defects is of the
acceptor type – for a common silicon detector, the initial n-type doping is slowly
compensated until the Neff is zero, and further irradiation results in effective p-type
doping. This process is referred to as type inversion (Figure 6).
Figure 6. Effective doping concentration and depletion voltage as function of particle fluence,
clearly visible type inversion (Moll 1999).
Consequences of the production of positively charged defects is also visible at the
detector surface. Irradiation leads to an accumulation of positive charge in the insulator
oxide and the formation of silicon-oxide interface traps. In segmented detectors, this
increases the inter-segment capacitance and can cause unwanted signal sharing. (Luukka
2006)
The effect of neutral defects in detector silicon depends on their position in the band gap.
Defects situated in midgap generate charge carriers without the influence of incident
particles, which increases the detector’s leakage current even at full depletion. The
leakage current is proportional to the fluence, with a material-dependent constant known
as the α-parameter (Figure 7).
18
Figure 7. Leakage current as a function of fluence for different types of silicon (Moll 1999).
Neutral radiation-induced defects at energy levels further from midgap, on the other
hand, are significant due to the trapping of charge carriers. This does not compensate the
increase in leakage current mentioned above, but instead concentrates on the “real”
charge carriers generated by an incident particle. Due to trapping, the charge collection
efficiency (CCE) decreases: fewer charge carriers can reach the electrodes and the counts
in a signal are reduced. For the same reason the charge collection length (CCL), i.e. the
path length charge carriers can move before becoming trapped, also decreases. This
contributes to the reduction of the signal counts and furthermore causes a deterioration
of resolution, as large parts of the detector are effectively rendered dead space.
The decrease in CCE and CCL reducing the intensity of a signal, in combination with the
abovementioned increase in leakage current (i.e. background noise), leads to a decrease
in the signal-to-noise ratio, which makes both observation and identification of a signal
more difficult.
19
2.2.3 Bias resistors: properties of polycrystalline silicon; alternative materials
The standard material for bias resistors in segmented silicon detectors is polycrystalline
silicon (poly-Si). Poly-Si has also been used in bipolar silicon applications (Enlow et al.
1991, Monizurraman et al. 1999), in thin-film and field-effect transistors (Inoue et al.
2003, Huang et al. 1983), for metal-oxide-semiconductor systems (Monizurraman et al.
1999, Chen et al. 2001, Inoue et al. 2003) and as gate electrode or interconnection layer
(Huang et al. 1983, Mahan et al. 1983), and in static random-access memory devices
(Mahan et al. 1983, Saito et al. 1985).
As opposed to the monocrystalline silicon used as detector bulk material, poly-Si consists
of many smaller crystallites with grain sizes between 10 and 1000 nm (Lu and Lu 1984),
often with an average grain size of around 30 nm (Huang et al. 1983, Saito et al. 1985).
The difference betwwn monocrystalline silicon and poly-Si is demonstrated well in Figure
8. The average grain size depends on many factors: the smoothness of the substrate
(Moniruzzaman et al. 1999), the conditions of the chosen film deposition method and a
possible crystallization process (Pereira et al. 2009), and doping, which has been found to
increase grain size (Lu and Lu 1984, Rydberg and Smith 2000).
Figure 8. Transition electron microscope image of a B doped poly-Si sample on a monocrystalline
silicon substrate (Nakabayashi et al. 2002).
20
For applications in silicon detectors, poly-Si is deposited by low-pressure chemical vapor
deposition (LPCVD) as product of the pyrolysis of silane (SiH4) or disilane (Si2H6) in H2 at
temperatures of 425 °C (Inoue et al. 2003), 630-650 °C (Huang et al. 1983, Mahan et al.
1983, Saito et al. 1985, Lee et al. 1999, Rydberg and Smith 2000, Chen et al. 2001) up to
790 °C (Caccia et al. 1984). Other deposition methods are plasma-enhanced CVD at 300 °C
by decomposition of a SiH4/SiF4 mixture (Moniruzzaman et al. 1999), atmosphericpressure CVD from SiH4 at 1050 °C (Lu and Lu 1984), and physical vapor deposition, such
as sputtering (Pereira et al. 2009). Silicon films can be deposited at lower temperatures,
but are then in an amorphous state and require crystallization, either by high
temperatures of 600-900 °C (Pereira et al. 2009) or by crystallization with a laser (Inoue et
al. 2003, Pereira et al. 2009). Film thicknesses are mostly around 500 nm (Huang et al.
1983, Mahan et al. 1983, Caccia et al. 1984, Saito et al. 1985, Ziock et al. 1991, Rydberg
and Smith 2000), thinner films around 50 nm (Inoue et al. 2003) or thicker films around 1
µm are seen less frequently (Moniruzzaman et al. 1999, Chen et al. 2001).
Similarly to monocrystalline detector silicon, poly-Si is implanted with ions in order to
achieve the desired electrical properties and resistivity. The most typical dopant atoms
are boron as electron acceptor and phosphorous or arsenic as electron donor dopants.
(Lu and Lu 1984) Resistivity as a function of dopant concentration is presented in Figure 9.
Figure 9. Dependence of the resistivity of poly-Si on dopant concentration (French 2002).
21
After their implantation into the poly-Si film, the dopants need to be activated. This is
achieved by high-temperature annealing at 950-1050 °C (Mahan et al. 1983, Rydberg and
Smith 2000, Chen et al. 2001). In order to establish ohmic contacts to the metallization
and bias line, the ends of the poly-Si resistors are doped more heavily in an additional
step (Huang et al. 1983, Saito et al. 1985).
The electrical properties of poly-Si, most centrally resistivity and dependence of the
dependence of it on temperature, are to the largest extent determined by the boundaries
between the individual grains. The grain boundaries are often described as amorphous
material between the crystallite grains, which essentially correspond to monocrystalline
silicon. Charge carriers are transferred from one grain to another by thermoionic
emission (Lee et al. 1999), tunnelling (Huang et al. 1983) or diffusion (Mahan et al. 1983),
but can be trapped by dangling bonds and trapping states found at the grain boundaries.
(French 2002)
Dopants or unwanted impurity atoms, such as hydrogen from the LPCVD deposition
process, interact explicitly at the grain boundaries.
Hydrogen is bound by trapping states and dangling bonds and saturates them, but only
loosely, which leads to an instability of resistivity over time due to the possible
reformation of traps when hydrogen is removed. The breaking of Si-H bonds is also
associated to poly-Si degradation by so-called self-heating (Inoue et al. 2003). When the
poly-Si film is doped with arsenic or phosphorous (i.e. electron donor dopants), these
atoms segregate to the grain boundaries and replace hydrogen (Lee et al. 1999, Mahan et
al. 1983). This reduces resistivity, and renders the dopants inactive. Boron, however,
does not interact with the grain boundaries to the same extent, and can be used to
compensate the low resistivity caused by too high donor doping (Rydberg and Smith
2000). Simultaneous doping with boron and phosphorous can lead to the formation of BP complexes that act as traps for holes (Rydberg and Smith 2000).
22
Because of its use in segmented silicon detectors, the radiation hardness of poly-Si has
been studied. Experiments indicate that the performance of poly-Si is strongly affected by
both charged particles and photons, which cause clear changes in resistance and even
lead to resistor failure (Zhang et al. 2011, Ziock et al. 1991, Enlow et al. 1991).
Ziock et al. tested the radiation hardness of AC coupled silicon microstrip detectors with
8.2-8.9 MΩ poly-Si bias resistors, intended for use in the Superconducting Super Collider,
by irradiation experiments with 800 MeV protons (9×1014 p/cm2), 1 MeV neutrons (3×1013
n/cm2), and Co-60 gamma rays of approximately 1.3 MeV. While neutron irradiation had
no apparent effect on the poly-Si resistors, the authors noticed an increase in resistance
of 7.5 % under proton irradiation. Gamma ray irradiation had an even more distinct
impact on resistance, which increased 15 % already after a total dose of 0.2 Mrad,
equivalent to 2 kGy. In addition, the difference between the resistance values of the
resistors at opposite ends of the strip detector increased. The influence of the gamma
irradiation was attributed to surface effects, which are suspected to have caused an
accumulation of fixed charges in the oxide layers in contact with the poly-Si resistor,
leading to a partial depletion of the poly-Si. Despite the deficient radiation hardness of
the studied resistors, it was concluded that bulk damage in the detector silicon is the
limiting factor for detector performance. However, the reduction of resistance to e.g. 250
kΩ is suggested, also in order to reduce the risk of punchthrough at high detector leakage
currents. (Ziock et al. 1991)
Zhang et al. investigated damage caused by 12 keV X-rays in silicon sensors and p-doped
poly-Si resistors in AC coupled samples, in anticipation of the doses of 1 GGy expected
within three years of operation at the X-ray Free-Electron Laser. Concurring with Ziock et
al. (1991), the effects of X-rays were expected to consist mainly of oxide charge as well as
interface trap formation. After X-rays doses of 1 and 10 MGy, the resistance of the poly-Si
bias resistors increased from the original 0.5 MΩ to 0.6 and 1.0 MΩ, respectively. After
100 MGy, resistance exceeded 100 MΩ, corresponding to a resistor failure. The removal
of free holes in the resistors’ low dose p+ implants due to the positive oxide and interface
charges was presented as possible explanation for resistor failure. (Zhang et al. 2011)
23
The theories presented by Ziock et al. and Zhang et al. for the mechanisms of photoninduced damage are further supported by Enlow et al., who studied the gain degradation
induced in microcircuit bipolar poly-Si structures by 60Co gamma radiation. Gain
degradation, which was observed to be higher for low dose rates and to continue even
during room temperature annealing, is also attributed to an increase of surface
recombination velocity due to interface traps, and depletion caused by oxide trapped
charge. (Enlow et al. 1991).
Even though this is only briefly mentioned by Zhang et al. (2011), it is logical to assume
that radiation damage affects polycrystalline silicon through similar mechanisms as are
observed in monocrystalline bulk silicon. Most importantly, it is considered very probable
that poly-Si is subject to a compensation of the effective doping by radiation-induced
defects, which act as acceptors and to a lesser extent as donors. Since poly-Si resistors are
already heavily doped to begin with, already low fluences can result in donor
concentrations of 1019 cm-3, after which drastic changes in resistivity are observed (cf.
Figure 9).
The above indicates that poly-Si resistors are very sensitive to radiation damage and
cannot be considered reliable at high fluences, especially not at the extreme luminosities
and fluences planned in future high-energy physics experiments.
One alternative for poly-Si as resistor material studied from the year 2011 onwards is
tungsten nitride (WNx). When deposited by reactive sputtering at low temperatures, WNx
is amorphous, making it assumably more radiation hard than poly-Si. However,
repeatability of the film thickness between batches and uniformity of the deposited thin
film over an entire wafer cannot be assured with reactive sputtering. Too clear
differences in film thickness and therefore resistivity are not acceptable for the
application in question, as they would lead to differences in the performance and
response of the individual detector segments.
24
Tracker detectors should disturb the particles they measure as less as possible, but
tungsten is a very heavy element (atomic number 74) and interacts much more strongly
with radiation than silicon (atomic number 14), since the probability of interaction for all
types of radiation increases with atomic number of the material (cf. Chapter 2.1). In
addition, WNx has a high density of 7.7-17.7 g/cm3, depending on its stoichiometry
(Haynes 2015).
For the mentioned reasons, WNx deposited by reactive sputtering is not the first choice
for a new bias resistor material. However, metallic bias resistors remain very interesting
due to their doping-independent resistivity and generally better radiation hardness.
Transition metal nitrides, which are mostly metallic materials, are preferred over instead
of elemental metals: their deposition with chemical vapor deposition methods is easier,
their resistivities are usually higher, and they are more resistant to temperature and
oxidation or sulfurization than elemental metals. One of the most well-known metallic
transition metal nitrides is titanium nitride (TiN).
25
2.3 Titanium nitride
2.3.1 Structure and applications of titanium nitride
Titanium nitride, denoted as TiN, is an inorganic compound from the group of transition
metal nitrides and consists of titanium – in its less common oxidation state +III – and
nitrogen. Like most transition metal nitrides, TiN is described as an interstitial compound
(Cotton and Wilkinson 1988) or a solid state solution of nitrogen in titanium (Atkins et al.
2010), where the smaller nitrogen atoms occupy octahedral holes in the original titanium
lattice. TiN forms a typical sodium chloride structure with individual, alternating facecentered cubic lattices for titanium and nitrogen in which each atom has six neighbours at
octahedral positions (Cotton and Wilkinson 1988, Figure 10). In an ideal case, the N/Ti
ratio is 1:1, but both over- and understoichiometric films have been reported. TiN can
tolerate a rather wide range of stoichiometry, with N/Ti ratios from 0.2 up to 1.6
(Sundgren 1985), but extreme stoichiometries can also be a sign of the presence of a
different, usually more unstable and uncommon phase, such as Ti2N or Ti3N4.
Figure 10. Crystal structure of titanium nitride, white: Ti, green: N
(<https://upload.wikimedia.org/wikipedia/commons/thumb/c/c0/NaCl_polyhedra.png/700pxNaCl_polyhedra.png>, 6.10.15).
26
TiN shows an exceptional combination of properties, with characteristics of both metals
and covalent compounds (Niyomsoan et al. 2002). TiN is electrically conductive with
reported bulk resistivities as low as ca. 20 µΩcm (Elam et al. 2003, Sundgren 1985) and
has a reflecting luster – both are typical properties of metals (Cotton and Wilkinson
1988).
Its optical response is similar to gold and therefore gives it a gold-resembling yellowish
colour (Sundgren 1985, Patsalas and Logothetidis 2001). However, TiN has a very high
hardness of 9 on the Mohs and 1770 on the Knoop scale – compared to diamond with
Mohs hardness 10 and Knoop hardness 7000 (Haynes 2015) – and is brittle, as opposed to
the mostly ductile elemental metals. TiN also has a high melting point at 2947 °C, a boiling
point is not defined due to decomposition of the material before vaporization (Haynes
2015), and is chemically rather inert (Wittmer et al. 1983, Cotton and Wilkinson 1988,
Schubert and Hüsing 2005, Atkins et al. 2010). This combination of properties indicates
that the bonds in TiN have both a metallic nature with close-packed atoms and
delocalized electrons (Cotton and Wilkinson 1988) and a certain covalent character
(Atkins et al. 2010).
X-ray photoelectron spectroscopy revealed that contribution of Ti 3d, 4p and 4s states to
the N 2p valence band is much stronger than for the corresponding O orbital in TiO 2 – this
stronger interaction could offer an explanation for the metallic character of TiN (as
opposed to the semiconductor/insulator TiO2) as well as the high hardness more typical
for covalently bound compounds (Song et al. 1998). On the other hand, the Ti 3d orbital is
not completely hybridized with the N 2p orbital (Patsalas et al. 2015), leaving 3d electrons
to titanium that are not present in elemental metals. The Fermi level of TiN lies in the
valence band of these Ti 3d electrons, explaining the electrical conductivity. (Patsalas and
Logothetidis 2001).
The very high hardness, good wear stability and chemical inertness of TiN make it widely
used as protective coating for many kinds of tools, increasing their edge retention,
corrosion resistance and wear resistance (Sundgren 1985, Chatterjee et al. 1992, Zhang
and Zhu 1993). Due to its gold-like appearance, TiN can also serve for decorative purposes
as coating on jewelery and watches (Sundgren 1985, Schubert and Hüsing 2005).
27
Its stability and non-toxicity allow the use of TiN as coating biomedical applications, such
as for medical tools and prostheses, (Serro et al. 2009) and even in biomedical
microelecromechanical systems (BioMEMS) (Birkholz et al. 2011).
Protective coatings of TiN usually have thicknesses of 2-10 µm (Sundgren 1985), as thicker
layers would be more susceptible to cracks or fissures due to the brittleness of the
material. These coatings are traditionally deposited by gas phase methods, which can be
divided into physical vapor deposition (PVD) and chemical vapor deposition (CVD).
In PVD, a film is formed by transferring small amounts of material(s) from a source to the
substrate by physical means. Chemical reactions are not used in the material transfer, but
can still occur during or after it, for example in the formation of TiN from a Ti target and
N2 gas or plasma. Examples of PVD methods are evaporation and sputtering, which are
often ”activated”, i.e their performance is enhance by the use of substrate bias, plasma or
a magnetic field (Hahn et al. 1987, Meng and dos Santos 1997). PVD processes are fast
and can be performed at low temperatures, but have a less accurate control of film
thickness and are directional methods, i.e. steps and other nonuniformities on the path or
on the substrate block or reduce film deposition in the shadowed areas (Price et al. 1993,
Zhao et al. 2000). The high kinetic energies reached by particles in sputtering processes
can damage the film surface and alter film properties strongly (Wittmer et al. 1983).
Therefore, PVD methods are more and more often replaced by chemical vapour
deposition methods (Zhao et al. 2000). These deposit films by taking advantage of
decomposition or gas phase reactions of volatile precursor chemicals. Especially
decomposition-base processes, however, often require very high temperatures, making
them more difficult to control and more sensitive to contamination (Tiznado and Zaera
2006, Jeon et al. 2000). Perturbations in the gas flow or temperature gradients can lead
to nonuniform and nonconformal growth (Price et al. 1993, Leskelä and Ritala 2002,
Profijt et al. 2010).
28
More recently, TiN films were introduced for various uses in for microelectronics, usually
as thinner films with thicknesses on a nanometer scale. The most widely seen applications
for TiN thin films in integrated circuits are diffusion barriers, which prevent the diffusion
of metal atoms into silicon, while at the same time retaining an electric connection
between metal and silicon (Sundgren 1985, Leskelä and Ritala 2002, George 2010,
Miikkulainen et al. 2013). The metal in question is usually copper (Uhm and Jeon 2001,
Elers et al. 2002), but also barrier layers for aluminium (Tompkins 1991) and lithium
(Baggetto et al. 2008) have been reported. TiN can also be used as electrode in DRAM
capacitors (Ahn et al. 2001, Kim et al. 2003, Xie et al. 2014), gate metal in CMOS
transistors (Heil et al. 2006, Profijt et al. 2011, Van Bui et al. 2012) and assisting layer
during the CVD of W (Zhao et al. 2000, Heil et al. 2006).
Baturina et al. (2004), Hadacek et al. (2004) and Coumou et al. (2013) have studied
superconducting TiN thin films. Coumou et al. focused on the possible applications of
such films, while Baturina et al. and Hadacek et al. investigated the behavior of TiN at low
temperatures and applied magnetic fields, with an emphasis on its superconductorinsulator transition. Baturina et al. used 5 nm TiN films deposited by ALD at 350 °C, which
showed superconducting behavior at temperatures of approximately 1.5 K and below
(Baturina et al. 2004). The films studied by Hadacek et al. were thicker (ca. 100 nm) and
deposited by reactive DC magnetron sputtering, and exhibited superconductivity at 4.4 K,
which is rather close to the critical temperature value of 4.7 K given for bulk TiN
(Hadacek et al. 2004).
For the relatively thick coatings on tools and comparable stubstrates, PVD and CVD are
very suitable methods. However, since components of integrated circuits and other
microelectronic systems have been the subject of constant decrease size downscaling
(Kuhn 2009), many materials from metals to dielectrics are now deposited as very thin
films with thicknesses on a nanometer scale. For such thin films, repeatability and
thickness control at relatively low temperatures can be achieved by atomic layer
deposition (ALD), which is introduced in Chapter 2.4.
29
2.3.2 Radiation damage in titanium nitride
No literature could be found on radiation damage and its effects in TiN, except for the
influence of neutron irradiation on superconducting TiN films (Dew-Hughes and Jones
1980), which is not of great interest for this work. The effects of plasma on TiN growth
behavior and film properties in plasma-enhance thin film deposition methods have been
studied, but the electron and ion energies in that kind of plasma are on the order of a few
electronvolts (Profijt et al. 2011) and are not comparable to particles in high-energy
physics experiments.
TiN is considered a metallic material, so a logical starting point for investigating its
behavior under irradiation would be examining the basic mechanisms and common
effects of radiation damage in metals. Drawing detailed conclusions from these reports is
risky, however, because it is probable that TiN as a compound metal reacts differently to
radiation than elemental metals. Studies on other metallic transition metal nitrides would
provide the best clues for the behavior of TiN under irradiation, but for the entire
compound group literature on radiation damage is effectively nonexistent. However,
some conclusions can be drawn from irradiation experiments on titanium carbide (TiC),
which is also a binary compound and exhibits characteristics of both metallic and covalent
bonds. Experiments with neutron and electron irradiations indicated that TiC can be
approximated as diatomic metal under irradiation: its behavior was very similar to that of
a metal in the sense that defects were presumably produced by nuclear collisions instead
of interactions with electrons. However, TiC is expected to differ from a monoatomic
metal in the process of recovery from radiation damage, due to the presence of two
different types of interstitials and vacancies and their interactions with each other.
(Morillo et al. 1981)
Based on the above, it appears tolerable to treat TiN primarily as a metal when
considering the mechanisms of radiation damage and their effect on electrical properties.
This approach should be limited to metals that are similar to TiN in either composition,
structure or properties: good candidates are elemental titanium or metals with a facecentered cubic structure, in which radiation-caused atom displacement could have similar
effects as in TiN.
30
In metals, changes in mechanical properties, are the most frequently described
consequences of radiation damage. Under irradiation, the hardness and yield stress of
metals increase, while their ductility decreases (Abromeit 1994, Wirth et al. 2001,
Choppin et al. 2002, Was 2007). In structural materials exposed to neutron irradiation,
swelling is a major issue due to the formation of voids and bubbles of helium as
consequence of the (n, α) reactions (Abromeit 1994).
TiN differs from most elemental metals in the way that it is hard and brittle already under
normal conditions, thus irradiation is expected to only intensify existing attributes and
not to cause radical changes. For the application in particle detectors studied in this
thesis, the mechanical properties of TiN are not decisive: no external mechanical stress is
exerted on the bias resistors, and the detectors are carefully protected from scratching
during all phases of production and installation. Intrinsic compressive or tensile stress
that might affect other properties of thin films is expected for films deposited by PVD
methods (Hultman 2000, Machunze and Jansen 2009); in CVD and especially ALD, the
slower growth at elevated temperatures facilitates stress relief already during film growth
(Uhm and Jeon 2001).
It has to be considered that TiN is interesting for detector applications as very thin film
with thicknesses of some tens of nanometers. The mechanical properties and response of
such a thin film are not identical to the properties of the bulk material. Very thin films do
not exhibit brittleness in the same way as bulk material, but are instead linked closely to
the behavior of the substrate, if their adhesion is sufficient. Nanometer-scale thin films
are sensitive to scratches, even if the bulk material is classified as very hard. Therefore, it
is not certain if radiation damage affects the mechanical properties of TiN thin films.
In general, the energy loss of radiation of any kind is expected to be very low in TiN thin
films. The films have a moderate density (slightly below 5.2 g/cm3) and are extremely
thin, therefore the number density in equations 1-3 and 7 is very small and the energy
loss can occur only over a very short path.
31
Said equations also show that the interaction of radiation with an absorber material
shows an even stronger dependence on atomic number; consequently, in TiN the
majority of interactions occurs with Ti (Z = 22) and non-nuclear radiation interactions can
be neglected for N (Z = 7). Ti is still a rather light metal and its interactions with radiation
are fewer than e.g. for Fe and Cu.
In addition, there are some remarks in the literature that the principle of ionization and
excitation in the form of a cascade around the track of a high-energy particle might not
apply to thin films. Mozumder states that in extremely thin metallic absorbers, energy
transferred from an incident particle could lead to plasmon excitation (Mozumder 1999),
i.e. collective oscillation of the free electron density. The excess energy would in this case
be stored in the entire lattice, e.g. in the form of heat, instead of causing excitations of
single atoms or chemical transformations (Mozumder 1999). Myers deduces the
occurrence of plasmon excitations from the observation that electrons lose energy in
metal thin films even though those are transparent for electrons (Myers 1997). Both
Mozumder and Myers set a thickness of ca. 100 nm as the limit for this phenomenon.
Since TiN thin films deposited by ALD for electronics applications are normally thinner
than 100 nm, plasmon excitation might play a large role in their interaction with
radiation.
Changes in mechanical properties or surface structure of TiN thin films might not be
detectable with common thin film characterization methods, such as X-ray diffraction or
scanning electron microscopy, at low doses of proton or photon irradiation. The unstable
nuclei formed in the activation of Ti under proton irradiation, however, should be visible
already in very small quantities due to the radiation they emit.
In terms of interactions of high-energy particles with the atomic nuclei, TiN can be
approximated a combination of Ti and N, although it is warned that this approach may
yield inaccurate predictions (Knoll 2010). The following focuses purely on the nuclear
activation of Ti.
32
The strong (‘extreme’) activation of titanium, in this case by irradiation with deuterons,
alpha particles and neutrons, was noticed already shortly after the discovery of artificial
radioactivity (Walke 1937). A variety of radioisotopes formed this way was identified,
mostly through their half-lives and if necessary chemical separation. The observed
activation products and the reactions they are formed in are presented in Table 3.
Table 3. Activation products of titanium produced under irradiation with deuterons, neutrons and
alpha particles (after Walke 1937).
Isotope
Nuclear reactions
51
50
Ti
Ti (n, γ)
Ti (d, p)
48
Ti (d, α)
46
Ti (n, p)
46
Ti (d, α)
Remarks
50
46
Sc
44
Sc
48
47
Ti (d, n)
Ti (d,2n)
48
Ti (n, p)
48
Ti (n, α)
V
48
48
Sc
Ca
45
49,50
48,49
V
Ti (d, n)
Ti (α,p)
48,49,50
Ti (α, p)
46,47
51,52,53
V
49-53
Cr
46-50
Ti (α,n)
48
Ti (d,α) only for strongly
activated samples.
Unintense, not certain;
probability for (d, α) low.
High probability noticed for
48
V.
Rather intense.
Unintense, not certain; 52V
improbable.
Unintense, not certain.
The high probability for the formation of 48V under irradiation of titanium with deuterons
was noted (Walke 1937). This isotope is also formed in high activities under proton
irradiation: for example Szelecsenyi et al. (2001) list cross-sections for reactions of natTi
(p,γ) 48V between 5.7 and 17.9 MeV. Four reactions yielding 48V were identified, out of
which the first is deemed least significant due to the low abundance of 47Ti:
47
Ti (p, γ)
48
Ti (p, n)
49
Ti (p, 2n)
50
Ti (p, 3n)
33
Cross-sections for the above reactions are around 360-390 mb for 10 MeV protons
(Szelecsenyi et al. 2001).Tarkanyi et al. studied the same reaction and obtained identical
results. In addition, the reactions natTi (3He, x) 48V and natTi (α, x) 51Cr were addressed. At
10 MeV, cross-sections for the former were with approximately 3.8 mb only one tenth of
the proton activation cross-section, alpha or 2He activation was slightly more probable
with a cross-section of 63 mb. Other activation products listed for 2He and 3He irradiation
of natTi are scandium isotopes, namely 43,44,44m,46,47Sc. (Tarkanyi et al. 1992)
An extensive list of cross-sections for the formation of titanium activation products by
proton-induced nuclear reactions, as well as spallation, was assembled by Brodzinski et al.
in 1971. Experimental data on reactions induced by extremely high-energy protons of up
to 2.6 GeV in energy is presented by Michel et al. (1995). The interested reader is referred
to these publications for more detailed information.
Photonuclear reactions of titanium were studied for example by Sherwood and
Turchinetz for photon energies from 14 to 31 MeV, obtained as bremsstrahlung radiation
from a synchrotron. Similarly to 2He and 3He irradiation, the identified products were
scandium isotopes, although in this case 44,46,47,48,49Sc obtained by (γ, p) or (γ, np)
reactions. In addition, 45Ti was formed in the exceptional reactions 46Ti (γ, n) and 47Ti (γ,
2n). (Sherwood and Turchinetz 1962)
34
2.4 Atomic layer deposition
2.4.1 Introduction to atomic layer deposition
Atomic layer deposition (ALD) is a modification of traditional CVD. It relies on reactions of
the precursors occurring on the substrate surface instead of the gas phase. The first
precursor is pulsed over a substrate and reacts with its surface groups in a complete, yet
self-limiting way, which means that in an ideal case, the precursor will form no more and
no less than one monolayer on the surface. The excess amount is then removed by
purging the reactor chamber with an inert gas. After this, the second precursor is pulsed
over the substrate and reacts with the first precursor, ideally again in a complete, selflimiting way and only via a reaction (or reactions) that yields the target material. A second
purge removing the excess of the second precursor completes the ALD cycle, after which
one layer of the desired material has been deposited on the substrate. The desired film
thickness is obtained by repeating this cycle as many times as necessary. (George 2010,
Leskelä and Ritala 2002) The film growth rate in ALD is normally expressed in units of
thickness/cycle instead of thickness/time.
In contrast to CVD processes, which usually are a strongly depending on temperature as
energy source for the reactions leading to film deposition, the film growth rate in ALD
should not depend on temperature. The temperature region where this is true is called
the ALD window, where film deposition is caused only by self-limiting surface reactions,
presented schematically in Figure 11. (George 2010, Leskelä and Ritala 2002)
Outside the window, film growth can either decrease or increase with increasing
temperature. A growth rate increasing with temperature is the consequence of
incomplete reactions at lower and thermal decomposition of the precursors at higher
temperatures. This is the case for most ALD processes, but especially processes based on
metal halide precursors appear to be sensitive to condensation at low and desorption
high temperatures (Mäntymäki et al. 2015).
35
Figure 11. Schematic of the growth rate behavior in ALD as function of temperature.
(<http://www.frontiersin.org/files/Articles/113306/fmats-01-00018-HTML/image_m/fmats-0100018-g002.jpg>, 17.10.15)
As film deposition occurs through chemical reactions of gaseous precursors, ALD is not
affected by shadowing effects as PVD is and allows the coating of 3D structures. Due to
relatively slow growth based on surface reactions instead of the decomposition in the gas
phase, the conformality achievable with ALD is much better compared to conventional
CVD. Ideally, the surface reactions are self-limiting and do not depend on small
temperature or precursor flow gradients.
All previous factors also account for the uniformity of ALD thin films over large substrates
and its repeatability – the desired film thickness can be tuned accurately at a nanometer
scale by adjusting the number of ALD cycles.
36
2.4.2 Plasma-enhanced atomic layer deposition
The surface reactions characterizing ALD require energy. Even an exothermic chemical
reaction usually has an energy wall, the activation energy, that needs to be overcome in
order for the reaction to proceed.
In traditional ALD, the required energy is supplied by heating the system, but there are
also other ways to promote surface reactions. The most common of these is plasmaenhanced ALD (PEALD), also known as plasma-assisted or radical-assisted ALD. The only
essential difference between thermal ALD and PEALD is the ignition of a plasma during
the pulse of the second precursor, illustrated in Figure 12. (Kim 2011, Profijt et al. 2011)
Figure 12. Schematic of an ALD cycle for thermal ALD and PEALD (Profijt et al. 2011).
37
The plasma is formed between two electrodes, usually a showerehead top electrode and
the substrate holder. Both capacitive or inductive coupling systems are found. The
applied electric field accelerates electrons, which in turn ionize and excite other gasphase species to form highly reactive radicals, free ions and electrons, as well as photons
(Profijt et al. 2011).
The plasma gas often works as precursor, for example in the PEALD of oxides and nitrides
by using a plasma of O2 or NH3, respectively (Kim 2011). These processes often involve
combinations of thermal and plasma-induced reactions (Profijt et al. 2011). Alternatively,
the plasma gas can function as a reactant that is not intentionally incorporated into the
thin film, the most prominent example of which is the deposition of metal thin films with
a reducing H2 plasma (Kariniemi et al. 2011, Kim 2011).
The introduction of radicals and other reactive species brings several possible advantages
to an ALD process. The energy supplied by plasma can enable film growth at lower
temperatures and can lead to higher growth rates. The energy as well as reactivity of the
plasma species often widenes the ALD window of a process due to enhanced removal of
precursor ligands, byproduct and also of condensed precursor molecules. All these are
sources of impurities in thin films, and indeed PEALD is known for often producing purer
films than thermal ALD. PEALD can even enable completely new processes by opening
new reaction pathways. (Kim 2011, Profijt et al. 2011)
A general disadvantage of PEALD is the more complicated process chemistry and
consequently the difficulty to determine which factor is responsible for the observed
behavior. Saturative film growth might be influenced by plasma power and exposure time
in addition to precursor pulse length and temperature. The plasma species might alter
the process chemistry significantly, but are more challenging to predict and to study than
neutral gas molecules. Since film growth relies strongly on radicals, PEALD can have
poorer film conformality on substrates with high aspect ratios, as radicals often
recombine before they can reach the bottom of trenches and other structures. The
probability of recombination depends on the radical and also on the surface. (Kim 2011,
Profijt et al. 2011)
38
Significant for the upscaling of PEALD processes for industry is the requirement of
modified or additional equipment. Profijt et al. (2011) remark that the advantages of
PEALD over thermal ALD must be important for the application in question in order for
this method to be considered for wider industrial use.
2.4.3 Thermal and plasma-enhanced atomic layer deposition of titanium nitride thin
films: focus on TiCl4 as titanium source
Precursors for ALD must display an often contradictory combination of fast and complete
surface reactions while at the same time being thermally stable and non-reactive in the
gas phase. Because the substrate is exposed only to small amounts of precursor vapors at
a time, the choice of precursors is not limited to gases. As long as they are sufficiently
volatile, liquids and even solids can be used as precursors, but the latter increase the risk
of particle contamination of the film. (Leskelä and Ritala 2002, Schubert and Hüsing
2005).
Atomic layer deposition of TiN has been dominated by the inorganic halide compound
titanium tetrachloride (TiCl4). Unlike most transition metal halides, it is a liquid under
standard conditions (m.p. -24.12 °C, b.p. 136.45 °C, Haynes 2015). The benefits of TiCl4 as
an ALD precursor are its volatility, thermal stability, and the absence of carbon in the
precursor molecule. In addition, it is a bulk chemical and therefore easily available and
inexpensive. TiCl4 is not air sensitive as many other common precursors, but forms HCl
(together with TiO2) upon contact to moisture, which classifies it as corrosive.
Thermal ALD using the precursor pair of TiCl4 and NH3 is the most common ALD process
for TiN thin films and one of the most investigated of all ALD processes (Miikkulainen et
al. 2013). It was published first by Hiltunen et al. in 1988, who for the first time deposited
several transition metal nitrides, among them TiN, by ALD from the corresponding metal
chlorides and ammonia at 500 °C. Relatively slow growth rates of around 0.2 Å/cycle
were reported for all deposited nitrides, but TiN was the only one to be found to contain
significant chlorine impurities.
39
It was observed that the rather smooth TiN film deposited by ALD preferred the (200)
orientation, while PVD processes produced either the (111) phase or a mixture of
different phases (see also Patsalas and Logothetidis 2001). The resistivities of the films
were not reported. (Hiltunen et al. 1988)
Even though the process is frequently studied and applied for the deposition of TiN thin
films for various purposes, its chemistry is not completely understood. Some questions
still remaining open are the mechanism of the reduction of Ti, the identity of the
byproduct of the corresponding oxidation, and the sources and the incorporation of
contaminants (Tiznado and Zaera 2006).
In the following, the chemistry of the TiCl4-NH3 process is discussed using publications on
CVD for support. Even though CVD usually involves gas-phase and decomposition
reactions, which are tried to be avoided in ALD, it is a chemical deposition method and
some mechanisms that occur in CVD can be projected – with some reservations – also
into ALD.
The most thorough study of the TiCl4-NH3 process and its surface chemistry on a
molecular level was conducted by Mochizuki et al. (1995). The process is labeled as CVD,
but the authors regard it a series of two (subsequent) reactions and conclude that the
growth proceeds in a layer-by-layer fashion, which would essentially correspond to ALD.
Juppo et al. (2002b) studied surface reactions in the ALD of TiN films from TiCl 4 and ND3
between 300 and 400 °C with in situ mass spectrometry, Tiznado and Zaera (2006)
studied the chemistry of the same process at 400 °C by in situ XPS. Titanium nitride
deposition from TiCl4 and NH3 is used as an example of metal nitride CVD by Schubert
and Hüsing (2005).
For the initial growth of TiN, Mochizuki et al. suspect a dissociative adsorption at a
dimeric Si site: the electron-deficient Ti in the TiCl4 molecule is donated electrons from
one Si atom, while the other in turn receives electrons from the electron-rich Cl. During
this process, only one Ti-Cl bond is broken.
40
The hypothesis of dissociative adsorption is supported by the observed formation of DCl
also during the TiCl4 pulse (Juppo et al. 2002b) and the presence of Ti3+ signals in the first
TiCl4 pulses(Tiznado and Zaera 2006).
Also the next step of chlorine elimination involves donation - back donation, this time
between the Ti 3d orbital and the lone electron pair of the ammonia nitrogen, and
between chlorine and one ammonia hydrogen. The latter interaction produces HCl. The
reactions proceed in a similar way also with H2 as reducing agent, but for H2 a less
favorable activation energy of ca. 167 kJ/mol, compared to a theoretical 63 kJ/mol for
NH3, was presented. The chlorine elimination is believed to be the rate-controlling step
and slowest for the first chlorine atom to be abstracted, which would lead to a rather
slow and therefore conformal layer-by-layer growth for TiCl4. For TiCl2, another possible
Ti-supplying species, chlorine elimination is expected to be faster and thus to result in 3D
CVD growth. TiCl3 is considered less important due to its dissociation into TiCl4 and TiCl2.
(Mochizuki et al. 1995) Juppo et al. (2002b) state that the ND3 is the process’s ratelimiting step, supporting Mochizuki et al., if chlorine elimination is assumed to occur
during the ND3 pulse.
Equation 12 shows the commonly accepted total reaction equation leading to the
deposition of TiN in CVD (Juppo et al. 2002b, Schubert and Hüsing 2005, Tiznado and
Zaera 2006):
6 TiCl4 + 8 NH3 → 6 TiN + 24 HCl + N2
(12)
According to Eq. 12, the reaction byproducts are gaseous nitrogen and hydrogen chloride.
Nitrogen is an inert and non-toxic gas, but HCl is toxic and corrosive. However, it is
produced in minute amounts that are disposed of through the pump oil and, more
importantly, do not cause etching of the already deposited TiN film (Wittmer et al. 1983).
41
Equation 12 might represent the total reaction also in ALD, but the separate precursor
pulses demand for it to be split in two half- reactions. Juppo et al. (2002b) propose the
following half-reactions for the ND3 (13a) and TiCl4 (13b) pulses:
-TiClx (s) + ND3 (g) → -TiND3-x (s) + x DCl (g)
(13a)
-NDy (s) + TiCl4 (g) → -NTiCl4-y (s) + y DCl (g)
(13b)
The main species adsorbing on the –NDy surface was found to change with increasing
temperature from -TiCl through -TiCl2 towards -TiCl3. This might be explained by the loss
of reactive -NDy groups or change from -ND2 to -ND groups at higher temperatures.
(Juppo et al. 2002b)
Schubert and Hüsing, among others, assume that ammonia acts as both nitrogen source
and reducing agent in the deposition of TiN. Tiznado and Zaera (2006) do not rule this
out, but present evidence that the reduction of titanium from oxidation state +IV in the
precursor to +III in the nitride might occur during the titanium precursor pulse: results
indicating the formation of a very thin (0.3 nm) layer of Ti3N4 on the surface during the
TiCl4 pulse lead to the conclusion that reduction of Ti occurs during the following TiCl 4
pulse. For a reduction of Ti during the TiCl4 pulse, equation 14 was introduced as an
alternative to Eq. 12. In this case, chlorine would be the oxidated byproduct more likely
than N2 and desorb as Cl2. The formation of a chloroamine instead of Cl2 was mentioned
as another alternative, but no equation was provided. (Tiznado and Zaera 2006)
2 TiCl4 (g) + 2 NH3 (g) → 2 TiN (s) + 6 HCl (g) + Cl2 (g)
(14)
It was admitted that at 400 °C, the reduction with TiCl4 would be thermodynamically less
favorable (179.4 kJ/mol) than with NH3 (-48.8 kJ/mol), but it was also noted that the
separation of the precursors, as well as the removal of byproducts and leftover
precursors by the purge steps, might alter kinetics and mechanisms to be more favorable
in reality (Tiznado and Zaera 2006).
42
Juppo et al. observed DCl as central byproduct formed during the pulses of both
precursors, but did not observe formation of nitrogen, probably due to the strength of
the Ti-N bond (over 335 kJ/mol) and the slowness of N2 formation at the lower
temperatures used in ALD. This naturally questions the validity of equation 1 for ALD of
TiN. Another reaction equation (Eq. 15), involving the production of hydrogen in addition
to N2 and HCl, was proposed by Kim et al. (2003). None of the other authors mentions this
possibility.
TiCl4 (g) + 2 NH3 (g) → TiN (s) + 4 HCl (g) + H2 (g) + ½ N2 (g)
(15)
An important issue in the deposition of metal nitride films are impurities, especially
chlorine, which is assumed to cause higher resistivities and influence device reliability
(Ahn et al. 2001, Price et al. 1993). Schubert and Hüsing (2005) mention the formation of
ammonium halides NH4X as a disadvantage described for several metal nitride CVD
processes using titanium halides and ammonia. The halide forming in the TiCl 4 process,
NH4Cl, is a potential source for chlorine impurities in the deposited films, as it is
sublimable but not very volatile (Schubert and Hüsing 2005). The dissociation of NH4Cl or
similar species is expected to yield HCl as toxic and corrosive byproduct, but this is a
negligible concern compared to high impurity concentrations in the films. The equilibrium
between the mentioned species is presented in Equation 16 (Schubert and Hüsing 2005):
NH3 + HCl
NH4Cl
(16)
As a rise in temperature shifts the equilibrium to the left side of the equation, i.e.
promotes the dissociation reaction of NH4Cl into ammonia and HCl (Schubert and Hüsing
2005), the amount of chlorine impurities caused this way is expected to decrease with
increasing temperature.
Indeed a higher temperature has been confirmed to reduce resistivity, presumably by
reducing chlorine impurities in TiCl4-NH3 thermal ALD (Elers et al. 2002, Jeon et al. 2000,
Uhm and Jeon 2001).
43
The same effect has been observed also in TiCl4-H2/N2 PEALD (Heil et al. 2006), but since
ammonia is not expected to be present in this process, it is concluded that chlorine
residues are introduced into the TiN films also by a different mechanism than described
by eq. 16. In the mass spetrometry study conducted by Juppo et al., the release of DCl
increases at higher temperatures, especially during the ND3 pulse. The molecular
adsorption of ND3 to solid TiClx species at lower temperatures to form solid TiNCl is
presented as a possible explanation, which would prevent the ammonia from
contributing to DCl formation and thus chlorine removal. (Juppo et al. 2002b) It was
noticed that even at 400 °C and very high ammonia flows, some chlorine was left in the
film (Tiznado and Zaera 2006).
The literature on further investigation and application of the TiCl4 + NH3 process is more
abundant than process mechanism studies. Below, parameters, such as growth rate,
temperature and pulse length, as well as results on TiN thin film properties are presented.
In the study of Tiznado and Zaera, the total growth rate was estimated to be 0.4 Å/cycle,
though a CVD growth component of the process, caused by the re-desorption of TiCl4
(previously adsorbed on the reactor walls) during the NH3 pulse, was considered, unlike in
any other TiN ALD article (Tiznado and Zaera 2006). Mochizuki et al. and Juppo et al. do
not mention growth rates. A growth rate of 0.4 Å/cycle is also reported by Jeon et al. at
temperatures between 350 and 450 °C with rather long, 5 s precursor pulses (Jeon et al.
2000). Kim et al. report the saturation of the growth rate at 420 °C even to 0.6 Å/cycle
(Kim et al. 2003), but a number of other authors reaches only growth rates of around 0.17
Å/cycle at temperatures around 400 °C (Elers et al. 2002, Xie et al. 2014) or in a range of
350-500 °C (Ahn et al. 2001). These differences in growth rates might be explained by
different ALD equipment or precursor pulse lengths – pulses were 4-8 s for Kim et al.
(2003), but only 0.1-1 s for Xie et al. (2014).
TiN films obtained by this ALD process were columnar and polycrystalline. Observed
crystal orientations were (111), (200) and (220). Only Ahn et al. (2001) reports a (100)
orientation. In the studies of Ahn et al. (2001), Kim et al. (2003) and Xie et al. (2014), the
(111) orientation dominates, while Uhm and Jeon (2001) report more or less equal
intensities.
44
Jeon et al. (2000), on the other hand, describes a domination of the (200) orientation,
with the other orientations appearing at higher deposition temperatures.
Film stoichiometries were mostly close to 1:1 (Jeon et al. 2000, Ahn et al. 2001, Kim et al.
2003).
The resistivity of the TiN films was observed to decrease with increasing temperature.
Since the same trend was observed for chlorine content, the higher resistivities at lower
temperatures were mainly attributed to a higher chlorine content. Other factors that
could have an impact on resistivity are other impurities besides chlorine, crystallinity and
preferred orientation, as well as other microstructure or morphology (Jeon et al. 2000,
Kim et al. 2003). However, none of the earlier were thoroughly investigated. Ahn et al.
(2001) could not observe changes in chlorine content with increasing temperature, and
therefore attribute changes in film resistivity to film density, orientation or both.
Resistivities ranged from 300 µΩcm (Xie et al. 2014) over 200 µΩcm (Elers et al. 2002,
Kim et al. 2003) to around 80 µΩcm for some films (Jeon et al. 2000, Ahn et al. 2001).
Jeon et al. (2000) describe a decrease from 350 to 75 µΩcm over their temperature range
of 350-450 °C. A 2.5 % chlorine content of the films at 350 °C was attributed to a
thermodynamic calculation, according to which the energy for the exchange of Cl with
NH3 was not high enough for complete chlorine removal (Jeon et al. 2000), although the
formation of TiN should be thermodynamically favorable already at 320 °C (Elers et al.
2002). At around 400 °C, chlorine contents were 1.2 % (Elers et al. 2002) or below 0.5 %
(Uhm and Jeon 2001, Kim et al. 2003), the latter appearing higher in the original figure.
Other impurities were carbon (Uhm and Jeon 2001, Ahn et al. 2001) and oxygen (Ahn et
al. 2001, Uhm and Jeon 2001, Kim et al. 2003), whose influence on film resistivity was
considered, but not discussed extensively.
45
Due to the growing interest in TiN thin films, the thermal TiCl4-NH3 process was sought to
be modified and optimized in order to enhance film quality, increase the growth rate and
enable growth at lower temperatures. Ritala et al. slightly modified the thermal TiCl4-NH3
process by adding Zn as third precursor in order to facilitate the reduction of Ti from the
+VI to the +III oxidation state and enhancing the electric properties of the film which had
not been addressed by Hiltunen et al. By the addition of Zn, the resistivity of the TiN film
could be lowered from 250 µΩcm to 50 µΩcm, but the growth rate remained low and the
deposition temperature high.
The TiN films were polycrystalline and thus rougher than comparable amorphous films,
and were sensitive to surface oxidation. The article also assessed the conformality of the
films, which was judged perfect. (Ritala et al. 1999) However, the exact aspect ratio of the
trenches was not mentioned in the article and was estimated to be only around 1:5,
based on SEM cross-section images.
As shown by Miikkulainen et al. (2013), experiments on the exchange of either precursor
of the TiCl4-NH3 process were also conducted. Dimethylhydrazine, allylamine and tertbutylamine were studied by Juppo et al. as alternative nitrogen sources for the deposition
of nitride thin films together with TiCl4. Transition metal nitride films deposited with
dimethylhydrazine showed good characteristics at 400 °C and could be deposited even at
200 °C, but the films had high carbon contents of around 10 % (Juppo et al. 2000). For
both TiCl4 and TiI4, allylamine led to carbon and hydrogen impurities, and tert-butylamine
as the only nitrogen source resulted in very low growth rates (Juppo et al. 2002a). TiI4 in
combination with NH3 exhibited low growth rates with strong temperature dependence
and oxygen concentrations of 10 % even at 500 °C (Ritala et al. 1998). All depositions
with TiI4 were performed at relatively high temperatures of 400-500 °C.
Even though e.g. dimethylhydrazine appeared promising as an alternative nitrogen
source, the described variations of the TiCl4-NH3 process remained single publications and
were not adopted for routine deposition of TiN films for applications. The use of metal
reductive agents, such as Zn, is not compatible with most electronics and semiconductor
applications (Leskelä and Ritala 2002).
46
Much more successful was the use of metalorganic alkylamino compounds, which in
addition to functioning as titanium precursors also supplied part or all of the nitrogen
necessary for the nitride formation. The by far most important of these newer precursors
is tetrakis(dimethylamino)titanium, also known as TDMAT. This precursor has been
studied as in thermal ALD together with NH3, as well as in PEALD. Two other molecules
within this group reported as ALD precursors are tetrakis(diethylamino)titanium (TEAT)
and tetrakis(ethylmethylamino)titanium (TEMAT), however only for thermal ALD in
combination with NH3.
The advantages of these metalorganic compounds are very high growth rates at low
temperatures and the possibility to avoid chlorine contamination, which is seen as a risk
to device performance in electronics (Jeon et al. 2000). Thermal ALD with NH 3 reaches
growth rates of up to 4.4 Å/cycle for TDMAT and 5 Å/cycle for TEMAT (Elam et al. 2003,
Musschoot et al. 2009), while the deposition temperatures can be kept below 300 °C or
even 200 °C in PEALD (Miikkulainen et al. 2013). However, especially the thermal ALD
processes have shown serious problems: the high growth rates, together with the
absence of an ALD window and non-saturative growth during pulses (Elam et al. 2003),
are signs of non-ALD growth by adsorption and thermal decomposition of the precursor.
The absence of chlorine impurities is compensated with the appearance of significant
amounts of carbon (6-13 % according to Miikkulainen et al. 2013) due to the incomplete
removal of the ligands by NH3 (Elam et al. 2003). High resistivities of 10-50 mΩcm and
extremely high oxygen concentrations of around 40 % were observed by both Elam et al.
and Musschoot et al., probably due to the low densities of often under 3 g/cm3 and
substantial porosity of over 40 % (for Elam et al.) of the deposited TiN films, which
predispose them to oxidation.
PEALD of TiN from metalorganic precursors is one of the rare cases where a clear
decrease in growth rate is observed, for example from 4 to 0.8 Å/cycle for TDMAT and
NH3 as precursors (Musschoot et al. 2009). This is attributed to the enhanced removal of
condensated precursors by plasma species (Kim 2011).
47
In summary, there is no ideal process for thermal ALD of TiN. TiCl4 is a very common,
thermally stable chemical, but it requires high temperatures and long growth times and
leaves chlorine contamination in the film. TDMAT enables fast growth at lower
temperatures, but the process is less reliable due to the non-ALD characteristics and
results in less dense films with considerable carbon and oxygen impurities. Which method
is in the end more suitable, i.e. which process type’s negative properties cause the least
inconvenience, depends on the choice of substrate and the application of the desired TiN
thin films.
Both impurities due to incomplete precursor ligand removal and high process
temperatures are characteristics that are often tried to improve by the use of plasmaenhanced ALD. This resulted in a large variety of PEALD processes for TDMAT: it has been
reported in combination with NH3, NH3/H2, H2 and N2 (Miikkulainen et al. 2013). The use
of plasma allowed for lower temperatures of 150-250 °C. For the TDMAT+NH3 process,
the use of plasma improved the film purity, but did not completely remove impurities.
The growth rate was slightly higher, but still no ALD window nor an otherwise saturating
growth rate could be achieved. The resistivity of the films was lowered considerably to
180 µΩcm, and showed a correlation with plasma power and plasma exposure time.
(Musschoot et al. 2009)
PEALD also offered a means to improve TiN thin film deposition from TiCl4, for which a
process with highly reactive H2/N2 as plasma gas mixture was developed (Heil et al. 2006,
Langereis et al. 2006). This process permits depositions in a temperature range of 100400 °C. Half-reactions (Eq. 17a and 17b) for this process were proposed by Profijt et al.
(2011):
-TiNH (s) + TiCl4 (g) → -TiNTiCl3 (s) + HCl (g)
(17a)
-TiCl (s) +2 H (g) + N (g) → -TiNH (s) + HCl (g)
(17b)
This process appears to be the most promising of the newer TiN ALD and PEALD
processes, as it allows the deposition of TiN thin films at low temperatures from TiCl4,
avoiding the use metalorganic precursors.
48
However, even in this process the films with the least impurities and best quality,
according to the authors, were deposited at temperatures of 300 °C and higher.
Resistivities decreased from 209 to 71 µΩcm between 100 and 300 °C, respectively. (Heil
et al. 2006) This confirms the theory that the amount of chlorine impurities depends most
strongly on temperature, and that the effect of plasma, even highly reactive H2 plasma,
on chlorine impurities is limited.
Surprisingly, no literature could be found on a variation of the most common precursor
pair in thermal ALD – a PEALD process combining TiCl4 with NH3 plasma has not been
reported. Ammonia plasma was used only together with the metalorganic titanium
precursors TDMAT or TEMAT, while TiCl4 was used with H2/N2 plasma. (cf. Miikkulainen et
al. 2013)
49
3 Introduction to the experimental section
The experimental part of this master’s thesis reports the testing of TiN for potential use
as thin-film bias resistor in segmented silicon detectors. TiN has already been suggested
as replacement for poly-Si, although for other applications (Wittmer et al. 1983, Tompkins
1991, Heil et al. 2006). TiN is expected to form better ohmic contacts to silicon (Wittmer
et al. 1983) and could permit the deposition of a smaller components at lower
temperature while simultaneously increasing the capacitance per area (Heil et al. 2006).
TiN is hoped to be superior to the traditionally used polycrystalline silicon, as well as the
tungsten nitride studied earlier, in several ways:
1. TiN is expected to have sufficient resistivity and to form ohmic contacts to
aluminium without requiring additional doping as poly-Si does. The two doping
steps and the corresponding masking layers for doping, as well as the etching of
additional contact openings, are not necessary for TiN, which would make
processing faster and thus cheaper.
2. The use of TiN bias resistors could enable AC coupling of pixels, which apart from
the advantages of AC coupling mentioned earlier, would permit testing of the
performance of individual segments and resistors without costly and lengthy wirebonding of the segments as is necessary in the case of DC coupled pixels.
3. TiN is expected to have sufficient resistivity to allow for a decrease in pixel size
from 100 × 150 µm2 to 25 × 25 µm2, greatly enhancing the spatial resolution of
pixel detectors.
4. The radiation hardness of TiN is assumed to be much higher compared to poly-Si,
due to its metallic nature, lower crystallinity and its use as very thin film of clearly
less than 100 nm.
5. Titanium is a much lighter element (Z = 22) and therefore interacts less with
radiation than tungsten in WNx, making TiN more suitable for use in silicon tracker
detectors.
50
In order for thin-film deposition and processing to be compatible with standard
semiconductor processing, no temperatures higher than 400 °C should be necessary in
the process, and the use of non-selective etchants and oxidizing or otherwise aggressive
chemicals should be avoided. In the literature, there are many examples of TiN thin films
being deposited by both thermal and plasma-enhanced atomic layer deposition (ALD) at
temperatures of 400 °C (Chapter 2.4.3). ALD also enables deposition of very thin films –
the optimal thickness of the TiN thin film was estimated to be between 15 and 30 nm –
with high precision, uniformity and repeatability, and was therefore chosen as deposition
method.
The most traditional titanium precursor in ALD, titanium tetrachloride (TiCl4), was chosen
as titanium precursor because of its availability at the Laboratory of Inorganic Chemistry
and due to uncertainties associated with newer metalorganic titanium precursors
(tendency to decompose, higher cost etc). Also the nitrogen source, NH3, was adopted
from the traditional TiN ALD processes, but in order to achieve lower deposition
temperatures, it was decided to try depositions by plasma-enhanced ALD with a mixture
of NH3 and an inert gas as plasma gas. The precursor combination of TiCl4 + NH3 has not
been published for PEALD, which explains a certain interest in studying this process also
besides the specific application for the bias resistors studied here. However, this implied
that virtually no information on the process’s basic characteristics, e.g. growth rate and
its behavior under different conditions, could be taken from the literature, but had to be
studied thoroughly before continuing towards bias resistor processing and testing. The
same applied to the properties of the deposited films, most importantly crystallinity,
chemical composition and resistivity.
After their properties were studied and judged promising, two TiN films were processed
into bias resistor structures, which were then subjected to different experiments in order
to study their behavior and radiation hardness. These experiments consisted of annealing
treatments and irradiations with protons and photons of different energies. The response
of the resistors to several treatments was assessed by comparing their resistance after an
experiment to the original resistance. For proton irradiations, the activation of TiN was
also investigated.
51
4 Materials and methods
In this chapter, the equipment, setups and methods used in the experimental work of this
thesis are presented. First, methods used for PEALD of the TiN thin films are introduced.
The processing of TiN thin films into bias resistor structures is reported next, as the
experiments presented later – resistance measurements, annealing and irradiation with
different types of radiation – focused on these resistor structures. Of the irradiation
experiments, irradiations with 10 MeV protons received the most attention, because they
were performed personally by the author with a non-standardized setup. The former also
applies to the X-ray irradiations, but to a slightly lesser extent.
4.1 Plasma-enhanced atomic layer deposition of TiN thin films
This chapter is divided into setup and experiments for the actual thin film depositions,
and the methods used for subsequent characterization of the obtained films.
4.1.1 Setup and experiments
Titanium nitride thin film depositions and characterizations were performed at the
Laboratory of Inorganic Chemistry of the University of Helsinki in a Beneq TFS-200 ALD
reactor (Figure 13) capable of holding silicon wafers with a diameter of up to 8” (203
mm).
52
Plasma gas inlet
Deposition
chamber
TiCl4 source
Figure 13. Picture of the Beneq TFS-200 ALD reactor, courtesy of M. Mäkelä.
This reactor could be operated both at a thermal and at a remote plasma configuration,
but the focus of this study lied on the latter configuration, presented as schematic in
Figure 14.
Plasma gas
inlet
Matching unit
RF
Showerhead
top electrode
Plasma
TiCl4 precursor
and carrier gas
Grid
Exhaust to pump
Substrate
Figure 14. Schematic drawing (simplified and not in scale) of the Beneq TFS-200 reactor at remote
plasma configuration.
53
The plasma was generated by capacitive coupling with a 13.56 MHz RF power source. A
metal grid inserted into the reaction chamber confined the plasma between itself and the
upper electrode and retains part of the ions, photons and the generated radicals (Figure
15). This configuration is also referred to as triode configuration or direct plasma with
grid (Profijt et al. 2011). The distance between this grid and the substrate was 4 cm.
Figure 15. Schematic drawing of the electrode-grid-system in the Beneq TFS-200 reactors, courtesy
of M. Mäkelä.
In this study, films were deposited on several smaller substrates at the same time. Pieces
of Si(100) from 6” (152 mm) wafers with a 1-2 nm native SiO2 layer served as standard
substrates, on which film characterization, especially film thickness modelling by XRR, was
the most straightforward. As SiO2 is the target substrate for the bias resistor experiments,
it was used as a second substrate material.
Normally smaller pieces for film characterization and resistivity measurements were
used, but in a few selected batches TiN films were deposited on whole 6” wafers of
oxidized silicon (oxide obtained by thermal oxidation at 1000 °C, thickness ca. 200 nm as
determined by ellipsometry) for resistor processing. Soda lime glass (5 × 5 cm2) was used
as additional insulating substrate for resistivity measurements. Both silicon and soda lime
glass were also used for comparison of the TiN films to other batches and even other
materials.
TiN films were grown in most batches between B1067 and B1093 of the Beneq reactor
and in individual later depositions. All information about the batches relevant for this
thesis is found in Appendix A.
54
A new 2 l bottle of NH3 (6.0, AGA) was connected to the Beneq TFS-200 reactor via gas
lines installed specially for this purpose. TiCl4 (≥ 99.0 %, Fluka) was evaporated from the
external source, an airtight, ca. 200 ml steel/aluminium bottle that had been connected
to the Beneq reactor earlier. The NH3 bottle was stored at at room temperature, the TiCl4
precursor at 19 °C.
N2 (5.0, AGA) and Ar (5.0, AGA) were available as plasma and carrier gas at the Beneq
reactor, and both were experimented with. It was observed that plasma ignition of a
mixture of N2 and NH3 was difficult, often momentarily impossible, and therefore this
mixture was not trusted to last for longer or repeated depositions. Ignition was easier and
more reliable for the NH3/Ar mixture, which was consequently chosen for the majority of
the depositions. The flows of argon and ammonia were optimized empirically to the
lowest flow of Ar and highest flow of NH3 for which plasma ignition was reliable over a
longer period of time, with the aim of keeping the total plasma gas flow at around 180
sccm. The flows determined for Ar and NH3 were 110 sccm and 40 sccm, respectively, and
were kept constant during all variations of other process parameters. The pressure in the
inner chamber of the reactor was around 5-10 mbar during the depositions. For all
depositions, one PEALD cycle consisted of the following sequence: TiCl4 pulse – Purge –
Wait – Plasma pulse – Purge. The Wait phase was established in order to let the NH3 flow
rise to its level of 40 sccm before plasma ignition. The typical pulse lengths (in seconds)
were 0.5 – 3 – 2 – 5 – 3, respectively.
Film growth was studied at temperatures from 250 °C to 325 °C. In previous PEALD
process studies, 300 °C had been the highest temperature used in the Beneq reactor at
remote plasma configuration, and it was also the typical temperature during the
following depositions.
A plasma pulse length of five seconds was adopted as standard value from earlier
depositions with the same reactor. In order to study the effect of plasma pulse length on
film growth, the plasma pulse length was varied from 2.5 to 10 s at 300 °C.
55
The conformality of the TiN films deposited with the PEALD process was assessed with
two types of vertical high aspect ratio substrates, referred to as G8 and G10 (Figure 16).
For the conformality study, all pulse lengths were increased (3 – 10 – 5 – 10 – 15 s). The
G10 substrate contained several different trenches with different widths and aspect
ratios. The studied trench most likely had a width of 1 µm width and depth of 27 µm,
which corresponds to an aspect ratio of 27:1. The substrates were broken by hand with a
diamond pen and studied under the FESEM without further treatment, e.g. polishing.
a
b
c
d
Figure 16. Top: a Picture of the G10 substrate, b Picture of the G8 substrate. Bottom: c FESEM
image of a cross-section of the G10 substrate (courtesy of M. Mäkelä), d Optical microscope
picture of the G8 substrate’s surface structure in 37.5-fold magnification.
In order to evaluate the role of plasma ignition in the growth of TiN films at 300 °C, one
film was deposited thermally. Except for the missing plasma ignition, all conditions –
temperature, remote plasma configuration, pulse lengths – were kept exactly the same as
described above for a standard PEALD deposition.
56
4.1.2 Film characterization
The TiN films deposited on the Si(100) substrates were studied by X-ray reflectivity (XRR)
with a PANalytical XPert PRO MPD X-ray diffractometer in parallel beam geometry, using
characteristic Cu Kα radiation (λ = 1.5406 Å). Film thicknesses, as well as information
about film roughness and density, were obtained by using the analysis software XPert
Reflectivity: an X-ray reflectogram was simulated and its parameters, most importantly
thickness, density and roughness of film, Si substrate and native SiO2 layer, were varied
until suitable values were reached to make the model correspond to the experimental
spectrum. The same instrument was also used for studying the crystallinity of the films by
grazing incidence X-ray diffractometry (XRD). Comparing XRD patterns, measured at 2065 degrees 2θ with a step size of 0.08 degrees and step length of 3 s and analyzed by the
HighScore Plus program, to a reference pattern was the routine method for confirming
the films as TiN.
The use of X-ray techniques for determination of chemical composition, e.g. by energydispersive X-ray spectroscopy (EDS), was not successful for the TiN films, as the low
energy of the characteristic nitrogen Kα X-ray (0.3 keV) made a quantitative analysis of the
nitrogen content practically impossible.
A field-emission scanning electron microscope (FESEM, Hitachi S-4800) was used to study
the surface morphologies of the TiN films deposited on planar substrates and to
determine TiN film conformality from cross-sections of the abovementioned high aspectratio substrates. The substrates were also studied briefly under an Olympus BX51 optical
microscope.
In order to analyse and visualize surface roughness and morphology more accurately,
atomic force microscopy (AFM) images were recorded from TiN films deposited on Si
substrates using a Veeco Multimode V AFM with Nanoscope V controller. The images
were captured in tapping mode in air using silicon probes with nominal tip radius of 8 nm
and nominal spring constant of 40 N/m (RTESP from Bruker) or 3 N/m (VLFM from
Bruker). Images were flattened to remove artefacts caused by sample tilt and scanner
bow.
57
Roughness was calculated as a root-mean-square value (RMS or Rq) from 500 × 500 nm2
images (512 × 512 pixels) obtained at a scan rate of 1-2 Hz. Larger images up to 10 × 10
μm were also captured to analyse sample homogeniety. Image processing and analysis
were done using the Bruker Nanoscope Analysis 1.5 program.
Resistivities of the films were determined with the four-point probe method (Cascade
Microtech four-point probe connected to a Keithley 2400 SourceMeter). Measurements
were performed on films deposited on insulating glass or SiO2 substrate at several points
to form a map of the film’s resistance.
The chemical compositions of selected TiN films were analysed with time-of-flight elastic
recoil detection analysis (ToF-ERDA) at the Accelerator Laboratory of the University of
Helsinki. A 5 MV EGP-10-II tandem accelerator referred to as TAMIA was used to
accelerate 79Br7+ ions to 40 MeV, after which the ions hit the TiN target at an angle of 20°.
The time-of-flight energy detector measuring the bromine ions after their recoiling from
the target was also positioned at an angle of 20°, giving a total scattering angle of 40°.
The entire ToF-ERDA system was essentially the same as described by Jokinen et al.
(1996), with slight modifications.
4.2 Processing of TiN films into bias resistor structures
4.2.1 Preliminary tests
Processing took place in the class 10-100 cleanroom at Micronova in Espoo, starting on
6.3.2015 with TiN photolithography.
Two wafers, from ALD runs B1076 and B1089, were chosen for the first processing. Both
are 6’’ silicon wafers with a SiO2 layer (thickness ca. 200 nm) obtained by thermal
oxidation. TiN thin films were deposited on both wafers using the PEALD described
earlier. However, the films were not identical: thicknesses were 12.0 and 22.9 nm and
resistivities 0.76104 and 1.244844 mΩcm for B1076 and B1089, respectively.
58
The wafers were rinsed with water and dried under a N2 flow.The etching behavior of the
TiN thin films had not been tested earlier by our group, and the statements about this
topic in the literature were somewhat contradictory. Naturally, a straightforward and
selective etching method was wanted, if possible with a nonhazardous chemical. Due to
earlier experiences with WNX films, which could be etched conveniently with hydrogen
peroxide, this was also tried first for TiN. A piece of wafer B1087 (TiN thickness 36.4 nm)
was held into a 30 % solution of H2O2 at 50 °C and checked after 2, 10 and ca. 23 minutes.
After 2 minutes, no change in film color was visible, but after 10 minutes the part of the
film held under the liquid surface had turned from pink to orange-yellow. After 23
minutes, the oxide underneath was revealed. Therefore, etching with 30 % H 2O2 at 50 °C
for approximately 20-25 minutes was considered a suitable method for the processing of
the TiN films.
4.2.2 Photolithography of TiN
In processing the TiN resistor test structures, two mask layers were used: the BIAS mask
for patterning the TiN layer and the METAL mask for patterning a sputtered aluminium
layer. The mask layout of an entire wafer is presented in Figure 17.
For clarity, each chip was assigned a code containing the batch code and the chip’s
position on the wafer. The rows are assigned letters, starting from A with the top row
under the heading. The columns are assigned numbers starting from 1, counted from the
inside towards the edges of the wafer. The wafer is divided in two halves according to the
positions of the mask names, i.e. the left half is referred to as the Metal (M) and the right
the Bias (Bi) half. The significance of division is explained later in the text. As an example,
the first chip on the top left of the wafer is named 76_M_A4. In an ideal case, the TiN
layer should be uniform and therefore all chips with the same resistor structures should
behave identically, making the tracking of their positions unnecessary, but this could not
be trusted to be true for a PEALD process in such an early stage of optimization and
upscaling.
59
A
B
K
L
M
Figure 17. Wafer layout with two mask layers BIAS (red) and METAL (dark grey). The blue line
shows where the wafer was split into the M and Bi halves, the letters and numbers indicate the
position of each chip. Courtesy of J. Härkönen.
Each chip contained only a single type of resistor out of the four that were produced by
the BIAS mask. Depending on the size of the resistor structure, a chip consisted of 6-8
rows with 23 resistors. The code used for distinguishing the structures, in the upper
center of each chip, simply states the structure’s width (W) and length (L) in micrometers.
These values for each structure, as well as the resulting area and the layout of the
structure, are presented in Table 4. Two of these structures were possible resistors for
pixel detectors - the longer one was situated traditionally around a pixel (W4L700), the
other was presumably intended to be winding directly on a much smaller pixel (W4L460).
60
The other two structures both represented resistors for strip detectors: one shorter
structure with rounded turns (W5L600), and the largest structure with angular turns
(W4L3550). All resistors had the form of a meandering line, with the length in Table 4
corresponding to the theoretical lenght if the resistor were stretched out into a straight
line.
Table 4. The dimensions and layouts of the four resistor test structures.
Width (µm)
4
4
5
4
Length (µm)
460
700
600
3550
Area (µm2)
1840
2800
3000
14200
Description
Winding on pixel
Winding around pixel
Strip, round turns
Strip, angular turns
The wafers were primed and coated with a monolayer of hexamethlysilazane (HMDS) in
an oven at 150-160 °C to enhance photoresist adhesion. The wafers were then spincoated with a positive photoresist (AZ5214E). The resist layer’s thickness was ca. 1.4 µm.
The coated wafers were soft-baked at 90 °C for 15 min in order to harden the photoresist.
Afterwards the first mask (BIAS mask) was aligned manually under an optical microscope
and the resist was irradiated with UV light for 3 s. The resist development took 70 s for
B1076 and 75 s for B1089.
The wafers were rinsed with water and dried. Microscope pictures were taken of the
different structures of both wafers to have a first look at the pattern quality. Figure 18
shows an example.
Figure 18. Comparison of structure W5L600. a (left): B1076, 12 nm; b (right): B1089, 22.9 nm.
61
All structures on both wafers were very uniform, and no adhesion problems were
observed for neither the TiN film nor the photoresist. However, in some areas of wafer
B1089, a small drop of resist had remained in the narrowest part of the smallest test
structure W4L460 – this problem did not occur on B1076 (Figure 19).
Figure 19. Test structure W4L460. Left: B1076; right: B1089, incomplete resist removal.
The wafers were hard-baked at 120 °C for 75 min. After this, the exposed TiN was etched
with 30 % H2O2 at 50 °C for 20 min (B1076) and 24 min (B1089). The wafers were
subsequently rinsed in a water bath with N2 bubbles and dried. The results were checked
with a microscope. Again, all structures except for some of the smallest on B1089 were
excellent.
Finally, the photoresist was removed by dissolving it in acetone 1 for 10 min, then rinsing
in acetone 2 for 2 min, isopropanol for 2 min and water. As the TiN film thickness is not
the same on the two wafers, they exhibit a slightly different color in the pictures – the
thicker film B1089 has a reddish color, while the B1076 film is yellow . Figure 20, taken
after TiN etching, shows a whole wafer with TiN resistor structures.
62
Figure 20. Wafer with TiN test structure chips.
After drying, the final TiN resistor structures were again photographed under the optical
microscope. Figure 21 shows that the residual droplets of photoresist in the W4L460
structures from Figure 19 have caused underetching in an entire corner.
Figure 21. B1089, test structure W4L460. a (left): incompletely etched structure; b (right): intact
structure.
63
Figure 22 shows examples of the other final resistor structures. All were flawless without
any etching or adhesion problems.
a
b
c
d
Figure 22. Top: a Test structure W5L600 on B1076; b Test structure W4L700 on B1089.Bottom: c
and d Test structure W4L3550 on B1089.
4.2.3 Photolithography of Al probing pads
Resistor test structure processing was continued on 12.3.2015. An approximately 300400 nm thick layer of aluminium had been sputtered on both wafers in order to provide
the grid lines and description for the test structure chips as well as probe pads for the
resistors (cf. Figure 17). The TiN structures were still sufficiently visible through the metal,
which allowed a precise aligning of the second mask (METAL mask). The procedure (from
priming to hard-baking the developed photoresist) were performed in the same way as
described above for the BIAS mask, except for a slightly shorter photoresist development
of 60 s.
64
The exposed aluminium was etched with a standard phosphoric acid solution (Honeywell
80-16-4(65), containing 74 % H3PO4 and 2.5 % HNO3 in water) at 30 °C for 70 s. The wafers
were rinsed in a water bath with N2 bubbles and dried. A finished wafer is seen in Figure
23.
Figure 23. A completed wafer with TiN bias resistors and Al contact pads.
Again, pictures of the individual resistor structures were taken with a CCD camera
integrated into an optical microscope (Figure 24). The brigth aluminium contact pads and
the darkened SiO2 substrate – darkening was told to be a common consequence of the
etchant – caused decreased contrast, but the now complete structures were clearly
visible and could be seen to be in excellent condition. As expected, the Al etching solution
did not attack the TiN structures.
65
a
b
c
d
Figure 24. Top: a Structure W5L600 on B1089, b Structure W4L3550 on B1076. Bottom: c
Structure W4L460 on B1089, d Structure W4L700 on B1076.
In order to see if the sintering usually employed in aluminium processes was necessary
here and if it would have an effect on the resistors, the wafers were not annealed
completely, but were cut in half with only the METAL side being annealed for 30 min at
400 °C under N2 atmosphere. The BIAS side was left without annealing. Two resistors of
W4L3550 and W4L700 structure from each wafer half of B1076 were measured directly at
the probe station in the Micronova cleanroom. It was observed that for both wafers and
both measured structures, annealing had caused an increase in resistance of ca. 30 %.
66
4.3 Resistance measurements
Resistances measurements were performed at Helsinki Institute of Physics and at CERN in
March – August 2015. The measurements were performed in probe stations equipped
with a microscope and probing needles connected to the necessary electronics and a
computer (Figure 25).
Probing
needles
Hole /
sample
Chuck
Figure 25. a (left): the IV measurement setup, b (right): close-up of the IV measurement setup,
microscope and needles.
All measurements were performed the same way. A chip with processed TiN resistors was
put onto the chuck, which was neither biased nor temperature-controlled for these
measurements, and held in place by a vacuum pumped with an oil pump through a small
hole in the chuck. The microscope was adjusted until the probing pads of the individual
resistors were clearly visible.
The two probing needles were placed over the opposite probing pads and were then
lowered until a sufficient contact was established. The measurement itself was controlled
by a computer, using Matlab at HIP or LabView software at CERN. A Keithley 2410
SourceMeter connected to the computer via GPIB served as both voltage source and
ammeter. At CERN, an additional Keithley 485 Picoammeter was used to permit
measurements of even lower currents. The voltage was ramped up in 0.5 V steps in a
range of -5 – 5 V, each voltage being applied for 2 s with simultaneous current
measurement.
67
Of each chip used in an experiment, several (5-20) resistors were measured before and
after the experiment. Resistance values are given as average resistances with
uncertainties corresponding to the standard deviation of the individual results. Single
measurements giving clearly higher or lower values than the majority of the rest were
excluded from the calculations.
4.4 Annealing
Annealing experiments were performed with one sample of each resistor type from the
BIAS halves of both wafers, giving a total of eight samples. They were annealed in 30 min
steps at 400 °C under N2. Two different setups were used for annealing treatments: one
at the Laboratory of Inorganic Chemistry at University of Helsinki, the other at the Isotope
Separation On-line Device (ISOLDE) experiment at CERN. Both setups consisted of a
quartz tube furnace (Carbolite ST15/450 at CERN, Figure 26 / CTF12/75/700 at UH), a
pumping system and a N2 bottle (6.0 AZOTE / 5.0 AGA).
In order to avoid oxidation of the TiN layer in ambient air at the elevated temperature,
the samples were inserted into the center of the furnace at room temperature. The
furnace tube was then first evacuated to a vacuum of ca. 1×10-3 mbar using an oil pump,
and then filled with nitrogen up to a pressure slightly above environmental air pressure.
Only after this the heating of the furnace was started, at a rate of 8-10 °C/min. A steady
nitrogen flow of 4-10 l/h was maintained for the time of the entire treatment. The
annealing time used in this text refers to as the interval during which the temperature has
been held constant at 400 °C; however, the time the samples spent at elevated
temperatures during heating and cooling of the furnace should also be taken into
account.
68
Figure 26. Picture of the Carbolite furnace used in the annealing experiments at CERN.
The resistors were measured before the experiments and after each annealing step,
normally with n = 20. Measurements deviating clearly from the average were left out of
calculations.
In addition to the processed resistor structure chips, a piece of the 76.7 nm film was
included in two half-hour annealing treatments and was later studied with AFM and ToFERDA for changes in morphology or chemical composition, respectively.
69
4.5 Irradiation with 10 MeV protons
Proton irradiations were performed with 10 MeV protons in an IBA 5/10 cyclotron at the
Laboratory of Radiochemistry at the University of Helsinki. This cyclotron is used mainly
for the production of short-lived tracer isotopes for radiopharmaceutical research, such
as 18F and 11C. Both are produced from liquid targets in chambers inside the outer layer of
the cyclotron and their transport to a separate synthesis united is controlled remotely
from the cyclotron control room. However, for less routinely performed irradiations of
solid, potentially larger samples, such as the author’s thin film samples, the proton beam
is directed into the external beam line (Figures 27 and 28). There, the beam shape can be
adjusted by one dipole and three quadrupole magnets, which enables the uniform
irradiation of larger targets. Furthermore, the easily accessible external beam line permits
customization of the target chamber and e.g. the insertion of scattering foils into the
beam line in order to reduce the energy of the protons and spread the beam, without
influencing the more frequently used standard liquid targets mentioned above. A Keithley
6485 picoammeter connected to the external beam line’s target block is capable of
measuring much lower currents than the cyclotron’s normal ammeter, which becomes
accurate only in the region of microamperes.
IBA 5/10
cyclotron
Target
block
Target
chamber
Proton beam
Keithley
picoammeter
Figure 27. The IBA 5/10 cyclotron external beam line from the front, just before irradiation with
samples loaded on the target.
70
Target
chamber
Dipole
Quadrupole 3
Quadrupole 2
Quadrupole 1
Proton beam
Figure 28. The IBA 5/10 cyclotron beam line from the back.
For all irradiations described in this thesis, an aluminium collimator was placed in front of
the target block to absorb the proton beam except for a 2×2 cm 2 window under which the
samples were placed (Figure 29). Therefore the current registered at the picoammeter
ideally represented only the charge incident on the samples.
Figure 29. Target used for irradiation of solid samples in the external beam line. a (left): Target
block, b (right): Samples glued to the target block behind the collimator.
71
All samples were irradiated by pinning them to the aluminium target block with small
pieces of double-sided carbon tape, which could be removed easily after irradiation and
also provided both a thermal and an electrical connection between the samples and the
target block. The irradiations were followed via a LabView program, which showed
current, requested integrated current, requested total charge and the ratio of real and
requested values in real time.
In order to irradiate the entire sample area as homogeneously as possible, the proton
beam had to be spread in both directions. This was achieved by adjusting the dipole and
quadrupole magnets. The stripper and its angle with respect to the target were used
mainly to transfer the position of the beam, but also to modify the beam shape to some
extent.
The beam shape was first studied in both horizontal and vertical direction with a
fluorescent plate made of Al2O3. The plate was attached to the target, which was turned
approximately 45 degrees towards the target chamber window. A camera positioned
outside the window transmitted a live video to the cyclotron control room, showing the
plate fluorescing red where hit by the proton beam. Due to its limited accuracy, this
method was used only for crude observations and preliminary adjustments of the beam
shape and position with the magnets. The spreading of the beam achieved by varying the
current of the quadrupole magnets could be observed relatively clearly for the vertical
direction, but not for the horizontal direction, due to the limited depth resolution of the
camera and the turned target.
Later, the homogeneity of the beam was studied by irradiating a piece of
polyvinylfluoride (PVF) film (thickness 55 µm, density 1.35 g/cm3) with a low current (ca.
10 nA) and short irradiation time. Based on the film’s colour change from colourless to
yellowish and brown on irradiation, the dose was observed to be not entirely
homogeneous. This was, however, attributed to adjustments in stripper angle during the
irradiation.
72
An oscilloscope was always used in addition to the other mentioned methods in order to
obtain more accurate data on the beam width and height (Figure 30). It was observed
that spreading the beam in the horizontal direction was easy and possible with all three
quadrupole magnets, while the beam remained less homogeneous and narrower in the
vertical direction. However, for the small target size of 2×2 cm2, the beam spreading was
judged to be sufficient. Adjusting the beam position by varying the stripper angle was
straightforward, but usually not necessary.
Figure 30. Screenshot of the oscilloscope screen during beam monitoring. The left peak
corresponds to the horizontal, the right to the vertical spread of the proton beam.
4.5.1 Calibration
Beam dosimetry for the cyclotron is usually based on a simple current measurement with
an integrated ammeter (for high currents in the internal targets, e.g. for 18F production)
or with a picoammeter for the lower currents used in the external beamline. The proton
dose per area is then easily calculated from the measured current and the known charge
of the proton. For the experiments described here, however, a calibration of the proton
beam was performed in order to obtain more accurate values – or to verify the sufficient
accuracy of the dose determination by current measurement – for the proton doses.
73
The calibration method makes use of the increase in the diode’s leakage current under
irradiation. Diodes from two 4” wafers of n-type Float Zone silicon (named Fz 2012 and Fz
2012 (2)) were used for calibration. The diodes were characterized before irradiation by
measuring their capacitance-voltage (CV) and current-voltage curves (IV). The
measurements before irradiation were used for familiarization with the properties of
diodes and for sorting out unsuitable diodes with too high depletion voltages and/or
abnormally high leakage currents.
The depletion voltage (Vfd) of the diode was determined as demonstrated in Figure 32 for
an irradiated diode: in a plot of the reciprocal of the capacitance squared as function of
applied voltage (C-2V), straight lines were fitted to the data points of linear increase in C-2
and the area of constant C-2, and the depletion voltage was defined as the voltage
corresponding to the interception point of the two lines. The leakage current was read
from the IV curve at the voltage of full depletion. Even though there were leakage
currents also in the unirradiated silicon diodes, the values measured for good quality
diodes before irradiation were found to be negligibly low (some nA) compared to the
values expected after irradiation (µA – mA) and were ignored.
The diodes were irradiated in the same geometry as planned for the resistor sample
chips. Each irradiation was performed for two diodes (one from each wafer) at the same
time, in case one diode should break down under irradiation. The fluence was scaled to 1
MeV neutron equivalents using a hardness factor of 4.3 1 MeV neq / p+ for 10 MeV
protons (Tuovinen et al. 2006).
After irradiation, the CV and IV measurements were repeated, and the new full depletion
voltage and leakage current were determined. Figure 31a shows a CV plot of the
irradiated diodes from wafer Fz 2012 and Figure 31b a corresponding IV plot of the diodes
from wafer Fz 2012 (2). With increasing fluence, a shift of the saturation of both
capacitance and leakage current to higher voltages is visible, as well as an overall increase
in leakage current. The differences in capacitance for the single diodes appear large in
Figure 32, but this effect is increased by the squared capacitance and is not significant.
74
Figure 31. a (left): CV curves of irradiated silicon diodes from wafer Fz 2012, b (right): IV curves of
irradiated silicon diodes from wafer Fz 2012 (2).
Figure 32. C-2V plot of irradiated silicon diodes from wafer Fz 2012, with depletion voltage
determined manually for one diode.
From the results of the IV measurements, the leakage currents per volume in units of
A/cm3 was calculated by dividing the measured leakage currents at Vfd by the volume of
the diode, which was known to be 300 µm × 0.5 cm × 0.5 cm = 0.0075 cm3. These values
were then plotted as function of the irradiation fluences to give the final calibration curve
presented in Figure 33. A straight line was fitted to the data points of both wafers, of
which wafer Fz 2012 showed a clearly better behavior.
75
Therefore, the experimental alpha parameter was defined as the slope of the straight line
fitted to the data points of this wafer, which resulted in a value of 4.09×10-17 A/cm.
Compared to literature values of 3.61 - 4.14 × 10-17 A/cm (Moll et al. 1999) for n-type Fz
silicon, the alpha parameter determined here is surprisingly accurate despite the
relatively poor linear fit.
Figure 33. The leakage current of irradiated silicon diodes as function of fluence, with the
experimental alpha parameter as slope of the line fitted to the data points of wafer Fz 2012
(black).
4.5.2 Experiments
A 2×2 cm2 piece of TiN on silicon substrate from batch B1084 (76.7 nm) was irradiated
with a fluence of 4.7×1014 p/cm2 in order to study the irradiated TiN film’s morphology
with AFM and chemical composition with ToF-ERDA, and to compare the results to those
obtained for an unirradiated film.
76
In addition, the activation of the TiN was studied very briefly and qualitatively – a
quantitative measurement would have required known standards – by autoradiography,
using a Fuji FLA-5000 scanner with a red 635 nm laser and a pixel size of 10×10 µm. A first
irradiation of processed TiN resistors was performed on 22.5.2015 with a fluence of
1.56×1013 p/cm2. All four samples were irradiated simultaneously. After irradiation, the
samples were kept in a freezer at -20 C. The total time spent outside the freezer for
transport, measurements etc was estimated as 24 h. On 3.9.2015, the same samples were
irradiated again to a total fluence of 5.0×1014 p/cm2. After this irradiation, gamma
spectroscopy with the so-called GXRS232 detector (high-purity germanium, Canberra,
model GX8021) and software Genie 2000 VDM was performed for all four samples
simultaneously.
4.6 Irradiation with 24 GeV/c protons
The irradiations with 24 GeV/c protons were performed at the CERN PS-IRRAD-1 facility
(schematic in Figure 34).
The total dose received by the samples was determined by adding a piece of aluminium
foil with the same active area as the samples to each irradiation. The stable aluminium
isotope 27Al undergoes two main nuclear reactions: 27Al (p, 3pn) 24Na and 27Al (p, 3p3n)
22
Na. The proton dose is calculated from the known cross-sections of the studied
reactions and the activities of 24Na and 22Na measured with a NaI scintillation detector
and a high-purity Ge detector, respectively. The hardness factor of 0.6 is used for 24
GeV/c protons (Tuovinen et al. 2006).
An array of 10 samples was submitted for irradiation: 8 TiN resistor structure chips and 2
silicon diodes (n-type Fz silicon, wafer 331, detector development group at CERN). The
samples were divided into two sets according to the resistor structure. Each set contained
one resistor chip from each half-wafer (76_M, 76_Bi, 89_M and 89_Bi) as well as one
silicon diode.
77
The sets were irradiated with different fluences following increasing resistor structure
length:
1. W4L700: 5×1015 p/cm2 ≡ 3×1015 n eq./cm2
2. W4L3550: 2×1016 p/cm2 ≡ 1.2×1016 n eq./cm2
Figure 34. The CERN PS-IRRAD 1 facility
(<http://ps irrad.web.cern.ch/images/ea_irrad_layout.jpg>, 16.10.15)
In order to determine the activation products in the irradiated samples, gamma ray
spectroscopy was performed with a high-purity germanium detector on 11.8.2015 on two
samples irradiated with the second highest fluence of 5×1015 p/cm2. Since a slightly
different chemical composition might result in a different distribution of activation
products, samples from both wafers were measured.
78
4.7 Irradiation with gamma rays
Two sets of four sample chips each were submitted for gamma irradiation: one set of TiN
resistor structure chips, consisting of one chip for each resistor test structure from wafer
B1089, and four older chips containing WNx resistors and capacitors. All eight samples
were left in the minigrip bags they had been stored in and were packed into a thin-walled
plastic jar.
The irradiation was performed as batch irradiation at Scandinavian Clinics, Estonia, using
a 60Co source with main gamma ray energies of 1173.2 keV (99.97 %) and 1332.5 keV
(99.99 %) (Chu et al. 1999). The irradiation aimed for a dose of 100 kGy with a maximum
dose rate of 5 kGy/h. Samples were submitted for irradiation on 26.5.2015, irradiated on
8.6.2015 and arrived back in Helsinki on 16.6.2015. From there they were sent to CERN,
where they arrived on 25.6.2015.
4.8 Irradiation with X-rays
The irradiations with X-rays were performed at DESY (Deutsches Elektronen-Synchrotron,
“German Electron Synchrotron”) in Hamburg between 12.8. and 17.8.2015. Most of the
irradiation setup was mounted inside an aluminium box which provided shielding from
the X-rays. Figure 35 shows the most important parts of the setup, among others the
sample holder and X-ray tube. A metal rail on the bottom of the aluminium box permitted
irradiation at different distances from the X-ray source, i.e. different dose rates. The Xrays were produced by a PANalytical PW3830 X-ray generator, operated with 50 keV and
44 mA, using a molybdenum target with characteristic X-rays at 17.5 keV and 19.6 keV
and weaker background from 10 keV to 28 keV. The rays were emitted horizontally,
parallel to the rail.
79
Sample
Sample
holder
X-ray
tube
Opening,
collimator
Mount
Rail
Figure 35. The X-ray irradiation setup inside the aluminium box.
Calibration of the dose rate was performed using a passivated implanted planar silicon
(PIPS) detector with a thickness of 300 µm and an area of 1×1 cm2. The detector, wirebonded to a ceramic circuit board, was mounted in the sample holder and its leakage
current during irradiation was measured with at several distances. The samples were
glued with double-sided Scotch tape to a similar ceramic board as the PIPS detector, but
were not wire-bonded.
Due to the limitations of the sample holder, the samples were glued as close as possible
to each other, in order to receive a dose as uniform as possible for the surface area of 2×1
cm2 (Figure 36).
80
Ceramic
Sample
holder
Samples
Figure 36. The TiN resistor chips glued to the ceramic board and inserted into the sample holder.
Two samples of W4L700 pixel resistor structures – one from each wafer, i.e. 89_Bi and
76_Bi – were irradiated to a total dose of 1 MGy in several steps (Table 5). For the lower
doses the dose rate was left at 1 Gy/s, but for the final dose of 1 MGy the sample holder
was moved closer to the X-ray collimator to allow a dose rate of 4 Gy/s.
Table 5. Irradiation times, expected and actual doses received by the TiN resistor chips.
Expected dose
100 Gy
900 Gy
9.2 kGy
90 kGy
900 kGy
Expected total
dose after
irradiation
100 Gy
1 kGy
10 kGy
100 kGy
1 MGy
Approximate
irradiation time
Actual dose after
irradiation
100 s
15 min
153 min
15 h
60 h
70 Gy
800 Gy
10 kGy
100 kGy
1 MGy
81
5 Results and discussion
The results obtained in the experimental work of this thesis are presented in the same
order as introduced in Chapter 4. The investigation of the standard process parameters
(growth rate, saturation, linearity of growth) are discussed first, after which the results of
thin film characterization for different properties are presented. This chapter contains
comparisons to the literature and already some of the author’s own thoughts on specific
issues. A summary of the results, as well as wider evaluations, interpretations and
conclusions, can be found in Chapter 6.
5.1 TiN thin films deposited by plasma-enhanced atomic layer deposition
5.1.1 Process parameters
TiN film depositions were started at 250 °C. At this temperature, the growth rate of 0.085
Å/cycle was very low compared to the lowest growth rates of around 0.2 Å/cycle
reported in the literature for TiN thermal ALD (Ahn et al. 2001, Elers et al. 2002, Ritala et
al. 1999, Van Bui et al. 2012, Xie et al. 2014), and it was therefore concluded that the
suitable process temperature or temperature window would be found at higher
temperatures. Figure 37 shows the growth rate as a function of temperature from 250 to
325 °C. No clear ALD temperature window is visible for this process; instead, the growth
rate increases with increasing temperature.
82
Figure 37. The growth rate of TiN films as a function of temperature.
The absence of a temperature window of constant growth is rather typical TiN ALD
processes (Elers et al. 2002, George 2010, Musschoot et al. 2009) and is not automatically
contradictory to ALD film growth. As the process studied here is plasma-enhanced ALD,
the focus lied on film growth behavior with plasma pulse length instead of temperature –
a growth rate remaining constant even when the plasma pulse is prolonged would give
the proof of self-limiting film growth. Figure 38 presents the film growth rate at 300 °C as
a function of the plasma pulse length. It is seen clearly that the growth rate saturates at a
plasma pulse length of five seconds, which confirms that the surface reactions involved in
this process are indeed self-limiting and thus follow the most important principle of ALD.
83
Figure 38. The growth rate of TiN films as a function of plasma pulse length.
The linearity of film growth, i.e. the linear increase of film thickness with increasing
number of ALD cycles, was studied in order to obtain more evidence of the process’s ALD
nature. Figure 39 shows that the thicknesses of all films deposited at 300 °C with a plasma
pulse length of 5 s can be fitted very well with a straigth line. The slope of this line can be
interpreted as growth rate, which in this case would be 0.194 Å/cycle. However, when
calculating the average of the growth rates determined individually for each film, a
growth rate of 0.183 Å/cycle is obtained both for plasma pulse lengths of 5 s and plasma
pulse lengths from 5 to 10 s. As mentioned above, this growth rate concurs well with the
literature on TiN ALD.
The non-zero intercept (ca. 1.5 nm) of the straight line fitted to the data points is caused
by nonlinear growth during the very first deposition cycles, a phenomenon characterized
thoroughly by several authors (Van Bui et al. 2012, Satta et al. 2002, Langereis et al.
2006).
84
Figure 39. Thickness as a function of cycle number for TiN films deposited at 300 °C with plasma
pulse lengths of 5 s.
5.1.2 Film density and crystallinity
According to XRR, the TiN films had densities of 3.8-4.7 g/cm3. These values are clearly
lower than the TiN bulk density of 5.2 g/cm3 (Haynes 2015), but agree very well with the
densities between 3 and 4.9 g/cm3 reported in the literature for ALD TiN thin films
(Miikkulainen et al. 2013). Heil et al. (2006) remark that this phenomenon is not limited to
ALD, but that most chemical vapor deposition methods lead to thin film densities lower
than bulk density, which Jeon et al. (2000) attribute to the difficulties of packing the
atoms in the TiN lattice at the relatively low deposition temperatures.
Whithin the group of TiN films deposited by PEALD and ALD, the lowest densities are
observed for films deposited by thermal ALD, possibly due to densification caused by
plasma exposure occurring in TiN (Zhao et al. 2000) or generally in transition metal
nitrides (Kim 2011), and for films deposited with TDMAT and other metalorganic
precursors (Elam et al. 2003, Heil et al. 2006).
85
In the XRD patterns recorded for the deposited films, peaks were visible at around 36.7,
42.6 and 61.8 degrees. The peaks clearly matched the TiN reference pattern (00-0381420, following the International Centre for Diffraction Data (ICDD), www.iccd.com). The
positions of all peaks exhibited a small, systematic deviation of ca. 0.1 degree from the
reference, but this was attributed to the measurement conditions, namely the substrate
of the TiN thin films. The reference data on peak positions, intensities and corresponding
crystal orientations, along with lattice parameters is presented in Table 6.
According to this reference, the three peaks mentioned above correspond to crystal
orientations of the cubic TiN osbornite phases (111), (200) and (220), respectively. Crystal
orientations are marked in Figure 40, which shows TiN films with different thicknesses
grown with 5 s plasma pulses at 300 °C.
Table 6. Information about XRD peaks of ICDD TiN reference 00-038-1420, with peaks observed in
the recorded XRD patterns in bold.
Peak
Index
1
2
3
4
5
6
7
8
9
10
111
200
220
311
222
400
331
420
422
511
Lattice
parameter (Å)
2.44917
2.12071
1.49967
1.27892
1.22449
1.06042
0.97305
0.94848
0.86577
0.81637
Position 2θ (°)
Intensity (%)
36.663
42.597
61.814
74.070
77.964
93.172
104.677
108.611
125.678
141.320
72
100
45
19
12
5
6
14
12
7
Figure 40 shows that crystallinity increases with increasing film thickness – this trend is
observed frequently for ALD processes of many different materials (Miikkulainen et al.
2013) and was therefore expected also for TiN.
86
Figure 40. XRD patterns of TiN films with different thicknesses. The crystal orientations
corresponding to the visible peaks are marked in the Figure.
Not only crystallinity, but also crystal orientation appears to be influenced by film
thickness: Figure 40 shows that the intensity of the always dominating (200) peak
increases compared to the two other peaks with increasing film thickness. The peak
intensity ratios at different film thicknesses are collected in Table 7 to visualize this effect.
When comparing the intensity values in Table 6 and 7, it is noticed that the measured
intensities do not correspond entirely to the reference. The difference is clearest for the
(111)/(200) ratio of the 76.7 nm film, which is as low as 0.3, compared to the 0.72 given
for the reference pattern.
87
Table 7. Peak intensities for different films; (200) set as 100 %.
Thickness (nm)
12.0
18.2
27.0
38.9
76.7
69.1 nm thermal ALD
Reference
I (111) (%)
I (220) (%)
75
87
66
47
31
68
72
30
58
46
44
29
36
45
The peak intensities measured from the thermally grown control film (69.1 nm), on the
other hand, were rather close to the reference. Figure 41 points out the differences in
crystal structure between the thermal film and the plasma-grown film closest in thickness
(76.7 nm). This result indicates that the plasma-enhanced ALD of TiN using the process
developed in this study provides the opportunity of depositing TiN films with a higher
content of the (200) orientation – in the literature, a dominating (111) orientation is more
common (Ahn et al. 2001, Kim et al. 2003, Xie et al. 2014).
Figure 41. XRD patterns of a thermally deposited TiN film (69.1 nm) and a TiN film deposited with
our PEALD process (76.7 nm). The crystal orientations corresponding to the visible peaks are
marked in the Figure.
88
Two other parameters that might affect film crystallinity were the deposition
temperature and the plasma pulse length.
XRD patterns from TiN films grown at different plasma pulse lengths are presented in
Figure 42. All films had thicknesses of 27.0-27.2 nm. The film deposited with a plasma
pulse length of 7.5 s was left out, since it was only 22.0 nm thick and the strong effect of
film thickness on crystallinity would make the comparison meaningless. No distinct
increase in crystallinity nor changes in peak intensity ratios as function of plasma pulse
length are seen. The film grown at 5 s plasma pulse lengths is the most crystalline, but
crystallinity decreases slighly towards both 2.5 and 10 s.
Figure 42. XRD patterns of TiN films grown at different plasma pulse lengths.
89
The effect of deposition temperature is shown in Figure 43 for films of 18.2-22.9 nm.
Despite the thickness differences, it can be concluded that crystallinity increases with
increasing deposition temperature. The (200) peak is the first to appear already at 250 °C,
while peaks (111) and (220) follow only at 300 °C, a behavior described also by Jeon et al.
(2000). All peak intensities increase towards 325 °C, but a change in intensity ratio of the
other peaks and the (200) peak cannot be observed for the relatively small film
thicknesses.
Figure 43. XRD patterns of TiN films grown at different temperatures.
90
5.1.3 Surface morphology and film conformality with field-emission scanning electron
microscopy
Especially the earlier TiN films were studied with scanning electron microscopy to obtain
information about their surface morphology and crystallinity; however, only very few
expressive images were obtained. This can be interpreted either as an indication of
relatively low conductivity of the films, leading to dark images with poor contrast, or
simply a sign of very smooth films with small surface structures and crystal grains. Figure
44 shows two relatively good-quality images of 27 nm TiN films. Both images indicate that
the TiN films in question are polycrystalline, with a rather narrow grain size distribution
around a grain size of ca. 20 nm
Figure 44. FESEM images of TiN films. a (left): B1072 on Si, b (right): B1068 on SiO2 (right).
Figure 45 shows the cross-section of a TiN film on a normal substrate surface without
structures. Grains are not distinguishable, but the film appears columnar.
91
TiN
Si substrate
Figure 45. TiN film on a silicon substrate.
Of the high aspect ratio substrates, good FESEM images were obtained only for the G10
substrate. Figure 46a, taken from the opening of a trench on G10, shows the conformal
covering of the edge by the 35 nm TiN film, which appears to be continuous with a slight
columnar structure and clearly visible, rounded grains. The grain size is estimated to be
between 50 and 100 nm, in any case several times larger than the film thickness and
slightly larger than the grains seen in Figure 44. Figure 46b demonstrates that even a
trench wall with step structures are covered conformally by the TiN film.
92
Figure 46. Uniform TiN films on the surfaces and walls of trenches on the G 10 substrate. a (left): a
trench with smooth wall, b (right): a different trench wall with step structure.
Figure 47a shows the same trench as in Figure 46a at a depth of 5 µm. The TiN film is
uniform and has the same thickness as at the surface. Figure 47b shows the trench’s
bottom area. The TiN is still uniform and has the same thickness and structure (faintly
visible in the background) as on the surface, up to a distance of ca. 250 nm from the
bottom of the trench, where the film rapidly becomes thinner and disappears from sight.
Figure 47. a (left): TiN film near the bottom of a trench in a G10 substrate, b (right): TiN film at a
depth of 5 µm in a trench in the G 10 substrate.
Conformality studies show that the TiN film covers the walls of both smooth and
structured trenches very well up to an aspect ratio of over 26:1. For a thin film deposited
by plasma-enhanced ALD, this result is excellent and indicates that uniform and
controlled PEALD of TiN films is possible even for very high aspect ratio substrates.
93
5.1.4 Surface morphology with atomic force microscopy
Image recording and and modification were performed by Miika Mattinen.
Three samples of different thicknesses (12.9, 22.9 and 76.7 nm), all deposited at 300 °C
with 5 s plasma pulses, were imaged. 3D images (Figure 48) recorded over a 0.5 µm × 0.5
µm area show very smooth and uniform 12.0 nm and 76.7 nm films, with Rq values of
0.43 nm and 0.46 nm, respectively. Interestingly, the intermediate film of 22.9 nm
appears more rough and coarse (Rq = 2.9 nm).
Figure 48. 3D images of a 0.5 µm × 0.5 µm area. Left: 12.0 nm, middle: 22.9 nm, right: 76.7 nm.
In a 2D image (Figure 49) over the same area, the 12.0 nm film appears finely granular,
but the 76.7 nm film has a different appearance without clear grains. The mentioned
higher roughness of the 22.9 nm film is explained by clearly visible, round crystal grains.
Figure 49. 2D images of a 0.5 µm × 0.5 µm area. Left: 12.0 nm, middle: 22.9 nm, right 76.7 nm.
Phase images (Figure 50) indicate that all samples consist of TiN without any alien phase
being present, and visualize the crystal grains in the 12.0 and 22.9 nm films even better.
94
Figure 50. Phase images of a 0.5 µm × 0.5 µm area. Left: 12.0 nm, middle: 22.9 nm, right 76.7 nm.
3D (Figure 51) and 2D (Figure 52) images over a larger area of 10 µm × 10 µm reveal the
presence of particles on the 76.7 nm film. Based on the substantial height of the particles
relative to the film surface and their scarceness, it is concluded that the particles do not
originate from the deposition process itself. They might be the result of contamination of
the film surface, or irregularities on the substrate that were then covered by the TiN film.
This theory is supported by the lack of any particles on the thinner films, which exhibit
perfect uniformity and smoothness even over a larger area. Even the 76.7 nm film
remains relatively smooth (Rq = 3.3 nm).
Figure 51. 3D images of a 10 µm × 10 µm area. Left: 12.0 nm, middle: 22.9 nm, right 76.7 nm. The
”waves” seen in the 12.0 nm film are image artefacts.
Figure 43. 2D images of a 10 µm × 10 µm area. Left: 12.0 nm, middle: 22.9 nm, right 76.7 nm.
95
Figure 53 shows a 3D and a 2D image over a 5 µm × 5 µm area for the thermally
deposited 69.1 nm TiN film. The film is much rougher than the films deposited by PEALD,
with a Rq value of 16.1 nm.
Figure 53. 5 µm × 5 µm images of the thermally deposited TiN film. Left: 3 D image, right: 2 D
image.
The AFM results support the evidence of increasing crystallinity with increasing film
thickness. The thinnest film of 12.0 nm is very smooth, but a higher roughness resulting
from large crystal grains is observed when the film thickness is doubled. The 76.7 nm film
is again smooth, but based on the XRD data, this film is more crystalline than the thinner
ones, and a decrease in grain size after previous increase is rather improbable. Therefore
it is concluded that the crystal grains have grown and coalesced even further, to form
very large, flat grains, or even to form a nearly ideal, monocrystalline thin film.
96
5.1.5 Analysis of chemical composition
Five TiN thin film grown on silicon substrates were analyzed by ToF-ERDA. Analysis,
calculation of the results and their collection into depth profiles were performed by
Kenichiro Mizohata.
Due to the resolution limitations of the ToF-ERDA method, relatively thick samples (27-77
nm) were chosen in order to obtain more reliable depth profiles for the composition of
the films. However, they only provide an indication of the distribution of different
elements in the TiN films. The results for the overall element concentrations in at-%
(Table 8) are accurate as such, but might give a too negative picture of the amount of
impurities in the film, since these numbers include both impurities distributed throughout
the films and impurities induced by surface oxidation or possible surface contamination.
Table 8. Composition of samples analyzed with ToF-ERDA.
Sample
B1070
B1072
B1084
B1087
B1090
B1133
(thermal)
Thickness
(nm)
27.7
27
76.7
38.9
27.2
69.1
1.28
1.27
0.94
1.08
1.10
[Cl]
(at-%)
7.20
3.62
6.59
6.51
7.42
[O]
(at-%)
18.73
19.29
4.10
8.49
8.80
[H]
(at-%)
4.28
3.19
2.34
2.63
3.14
[C]
(at-%)
0.10
0.17
0.08
0.15
0.18
Resistivity
(mΩ cm)
1.882
0.795
0.921
0.952
0.986
1.05
7.01
7.90
2.36
0.65
0.787
Ti/N
Figure 54 shows the depth profile of the B1072 film with a thickness of 27 nm. The film is
clearly confirmed as TiN with similar concentrations of titanium and nitrogen. However,
there is also a very high amount of oxygen, with concentrations between ca. 12 at-% in
the film and 20-30 at-% at the surface and substrate interface. Chlorine is present in
smaller amounts of around 5 at-% throughout the film, but interestingly not at the
surface. Carbon impurities are detected, but are very small compared to oxygen and
chlorine. The same is true for hydrogen, except for a peak during the first nanometers of
the film surface.
97
Figure 54. Depth profile of the chemical composition of the B1072 TiN film (27 nm).
The perhaps most reliable and representative ToF-ERDA depth profile was obtained for
the thickest film from batch B1084 (76.7 nm, Figure 55), for which one can differentiate
best between substrate interface, film ”bulk” and surface. The film consists mainly of
titanium and nitrogen in similar concentrations, the most abundant impurity is chlorine
with around 5 at-%. The distribution of oxygen continues the trend observed for the
thinner films: there is an oxygen peak directly at the surface and a flatter one at the
substrate interface, but only a very low oxygen concentration in the film.
98
Figure 55. Depth profile of the chemical composition of the B1084 TiN film (76.7 nm).
ToF-ERDA analysis proved that the samples, and therefore assumingly also the rest of the
films deposited for this study, were TiN with a tendency to understoichiometry. Only
B1084 (76.7 nm PEALD film) is slightly overstoichiometric, but is of all films closest to the
ideal 1:1 stoichiometry. Titanium-rich films have been reported at short plasma pulse
lengths of 5 s (Heil et al. 2006) similar to the ones used in most of the depositions in this
study. Also plasma energy might have affected the stoichiometry (Langereis et a. 2006).
The titanium richness of the films indicates that no Ti3N4 phase is present.
Figures 45 and 46 indicate that titanium extends deeper than nitrogen towards the silicon
substrate or is even mixing with it. The formation of a Ti-Si or Ti-Si-O interface layer is not
ruled out, but the literature focuses instead on the formation of an oxynitride layer (Kim
2011, Langereis et al. 2006). This phenomenon might also be caused by inaccurate
normalization of the profiles for single elements.
The impurities seen in all films are chlorine, oxygen, hydrogen and carbon. Carbon is
present only in negligible amounts, which are believed to be caused by contamination of
the surface after deposition, since none of the precursors should contain carbon.
99
Hydrogen is present in small amounts throughout the film and more abuntantly on the
surface. The peak at the surface can be the result of either contamination or simply the
termination of the surface with –NHx or, in case of surface oxidation, –TiNxOyHz groups.
Hydrogen inside the film is most likely an impurity left there by incomplete removal or
trapping of the NH3 hydrogen atoms, or by readsorption a possibly formed H2 side
product.
All analyzed films contained a significant amount of chlorine. This impurity is left in the
film due to the incomplete removal of the chlorine atoms from chemisorbed TiClx species
due to the too low reactivity of NH3 and the various plasma species. At the relatively low
process temperatures studied here, the formation of less volatile NH4Cl might also play a
role, as indicated in the literature (Schubert and Hüsing 2005, Juppo et al. 2002b) The
decrease of chlorine towards the surfaces, or at least the absence of a peak in chlorine
concentration at the surface, and the even distribution throughout the film support the
hypothesis that the chlorine impurities originate from the process chemistry rather than
contamination or post-deposition events.
All films also exhibited high levels of oxygen impurities. Unlike chlorine, these were found
in higher amounts on the surface and at the film-substrate interface. Therefore it is
believed that the oxygen is introduced by surface oxidation, to which TiN thin films were
observed to be sensitive (Ernsberger et al. 1985, Heil et al. 2006, Xie et al. 2014,
Niyomsoan et al. 2002), even though the bulk material is described as chemically stable. A
contamination of the surface with water or moisture from ambient air might also explain
part of the oxygen and hydrogen observed right at the film surface. The oxygen seen at
the TiN-silicon interface results from the native oxide layer of the silicon substrates,
which was not removed prior to TiN deposition. This interface oxygen might be at least
partly responsible for oxygen impurities throughout the film distributed by diffusion, as
even films that were not exposed to ambient air were found to contain high amounts of
oxygen (Tiznado and Zaera 2006).
100
It appears that the earlier films, i.e. films from batches of under B1080, contain more
oxygen. This can be due to a leakage in the reactor (maintenance was performed at batch
numbers B1080 – B1082), or the smaller thickness of the analyzed films, allowing oxygen
from ambient air to diffuse more easily into the films.
The thermally deposited film contained slightly more oxygen throughout the film, as well
as a broader oxygen peak at the TiN-substrate interface. The latter could be an indication
that the native oxide layer of the silicon substrate (or a forming TixOy layer) is sputtered
by the plasma to some degree. Despite all this, the thermally deposited film had a lower
resistivity than the comparable 76.7 nm PEALD film, which shows that at least small
variations in the oxygen content have no clear effect on film resistivity. The stoichiometry
of the thermal film was very close to the ideal 1:1 stoichiometry, but, on the contrary to
the PEALD film, slightly overstoichiometric.
5.1.6 Resistivity of TiN films and the influence of chemical composition and parameters
Four-point probe measurements gave resistance values R (in Ω) as results. Resistances
were measured at several points of a wafer to form a map, as schematically presented in
Figure 56 for wafer B1089.
Figure 56. Resistance map of wafer B1089 (22.9 nm), all values in Ω.
101
Higher resistivities at the lower edge were observed for most films, and for some a
slightly different color was visible in the same region. The gradient might have been
caused by processing-induced irregularities in the wafer, or differences in film thickness
at the wafer edge closest to the TiCl4 precursor inlet (”leading” edge). An approximate
average resistance value was used to calculate the film’s sheet resistance according to
Equation 18, which could be used because the spacing between the four-point probe
contacts is much smaller than the length and width of the measured samples (Heaney
2004):
Rs =
πR
ln(2)
≈ 4.53 R
(18)
were Rs is the sheet resistance and R a measured resistance value. Inserting 120 Ω
determined for B1089 gives:
Rs (B1089) = 4.53 × 120 Ω = 543.6 Ω/sq
The resistivity ρ was then calculated by multiplying the sheet resistance with the film
thickness d, e.g. for B1089:
ρ (B1089) = Rs (B1089) × d (B1089)
(19)
= 543.6 Ω/sq × 22.9×10-7 cm = 1.24 mΩ cm
No dependency of resistivity on plasma pulse length or deposition temperature could be
seen for the deposited films, but resistivity decreased nearly exponentially with
increasing film thickness (Figure 57). This is a consequence of size effects (Mayadas and
Shatzkes 1970, Langereis et al. 2006) and is often seen for TiN thin films (Ahn et al. 2001,
Langereis et al. 2006, Musschoot et al. 2009, Xie et al. 2014). However, two relatively thin
films had surprisingly low resistivities for unclear reasons.
102
Figure 57. Resistivity as function of film thickness.
For TiN thin films deposited by PVD, a correlation of resistivity with crystal orientation has
been observed – films with preferred (200) orientation had lower resistivities than films
with (111) orientation (Hahn et al. 1987, Meng and dos Santos 1997). It appears that this
does not apply to the new PEALD process, since the thermally deposited film, despite its
higher (111)/(200) ratio, had a slightly lower resistivity than a comparable PEALD film.
Most chemical impurities in TiN films – carbon, oxygen, chlorine – are associated with
higher resistivities. The role of hydrogen is less clear, and high amounts of it in TiN films
are rare.
The influence of chlorine on film properties, namely resistivity, is not clear – often
chlorine is simply assumed to be the reason for higher resistivities, but without direct
proof. In this case, only a very small increase in resistivity was observed for increasing
chlorine concentration (Figure 58).
103
Figure 58. Resistivity as a function of chlorine concentration.
No clear pattern is visible for resistivity as a function of Ti/N ratio. The resistivity increases
very slightly from a ratio of 0.95 towards 1.10, but the resistivity of the film with Ti/N
ratio 1.27 was the lowest of all measured samples. It is concluded that moderate changes
in stoichiometry do not affect the electric properties of the TiN films deposited during this
study.
The effect of oxygen on film resistivity is surprisingly small: a fourfold increase in oxygen
concentration changes the resistivity only by less than 20 %, and is associated with a
decrease, rather than increase, in resistivity (Figure 59).
104
Figure 59. Resistivity as function of oxygen concentration.
The oxygen concentration depicted here contains both surface, TiN-Si interface and film
oxygen, showing that even a considerable amount of oxygen does not lead to significantly
higher resistivity. It is therefore concluded that no insulating TiO2 is formed inside the
films – the oxygen in the films is either in impurity or interstitial form, or forms
conducting Ti- and O- containing phases, such as TiO.
5.1.7 Observations on film adhesion and film color
Adhesion of two TiN films (77 and ca. 14 nm) to a SiO2 substrate was evaluated by the
Scotch tape test. Both films passed the test. Despite bulk TiN being known for its extreme
hardness and wear-resistance, the thin films were easily scratched with steel pincettes.
Microscope images have shown both larger and smaller scratches, from which the latter
appear to be caused by smaller particles, maybe Si splinters.
105
The colour of the TiN films on glass was a light brown or grey, sometimes with a yellowish
tone. Thinner films were transparent and even ”thicker” films (up to 77 nm) only sligthly
reflective. On silicon substrates, film growth was only sparingly visible with the naked
eye; the polished silicon surface turned darker grey and subsequently brownish as film
thickness increased. A film of ca. 35-40 nm caused a clearly visible, light brown colour.
The thickest films of 70-77 nm exhibited a dark blue-grey colour. On silicon oxide, i.e. a
SiO2 layer of approximately 200 nm formed by thermal oxidation of silicon wafers with a
light golden colour of its own, already very thin films (8-12 nm) of TiN were exposed by a
change in colour from golden to yellowish. With increasing film thickness, the film color
changed from more intense yellow through yellow- and orange-red to a pinkish red. The
thickest films (70-77 nm), however, had a colour very similar to that on the silicon
substrates. Compared to titanium oxide, the titanium nitride thin films’ colours on all
substrates were much more shallow: for example, while films of TiO2 (ca. 54-60 nm)
exhibited a shiny electric blue or deep violet colour, even the thickest TiN films’ blue color
was subdued and closer to grey.
5.2 Resistance measurements: Homogeneity of resistors over a wafer half
If the new TiN PEALD process is to be used in the serial fabrication of TiN bias resistors, it
is crucial that the film is deposited uniformly over a substrate, which is expected to be a
silicon wafer of at least 4” in diameter. The uniformity most important for this
application, the uniformity of resistivity over the wafer, was assessed by plotting the
average resistances of bias resistor chips from wafer half B1089_Bi as a function of the
chips’ position on the wafer. Figure 60 shows the average resistances of different, notannealed resistor chips as a function of the row, presenting a side view of the wafer, with
1 corresponding to row A directly under the mask title (cf. Figure 17), etc. The figure
indicates that resistivity has slight profile with an increase in resistance towards the
bottom of the wafer, but the differences are small, especially for the smaller structures,
and should not affect the resistor performance significantly.
106
Figure 60. Average resistance of different resistor structures from wafer half B1089_Bi as a
function of their vertical position on the wafer.
Figure 61 shows the corresponding plot of average resistance as a function of the position
in a certain column of the wafer, 1 being the innermost column. Here, there is no visible
gradient of resistance, however, the chips closest to the wafer edges (numbers 5-7) were
not used.
Figure 61. Average resistance of different resistor structures from wafer half B1089_Bi as a
function of their horizontal position (column) on the wafer.
107
Judging from these preliminary results, the uniformity of the TiN thin film over the
measured resistor chips is excellent. The higher resistivities measured for the front edge
by four-point probe, however, indicate that the resistors at the lower edge of the wafer
might have higher resistivities compared to those more at the center of the wafer. The
resistors on the edges of the entire wafer were not yet used in order to minimize the
effect of any processing faults on the results of the annealing or irradiation experiments.
5.3 Annealing
As mentioned in Chapter 4.2.3, it was noticed that already a relatively short annealing of
30 min at 400 °C in a N2 atmosphere caused a clear increase in resistance for the
processed TiN resistor structures. This treatment corresponds to the common process
step of aluminium sintering necessary for establishing an ohmic contact between Al and
Si or SiO2. The increase in resistance needed to be studied in more detail, since the
resistance values of as-processed resistors were too low for the application as bias
resistors in segmented detectors (250-330 kΩ for W4L3550 and ca. 60 kΩ for W4L700).
Figures 62 and 63 present the development of the resistance for the different samples
plotted as a function of annealing time at 400 °C for wafers B1076 and B1089,
respectively. A quasi-exponential increase in resistance is observed for all resistor types
and both wafers.
108
Figure 62. Resistance of different resistor structures processed from B1076_Bi (12 nm) as function
of the annealing time at 400 °C under N2.
Figure 63. Resistance of different resistor structures processed from B1089_Bi (23 nm) as function
of the annealing time at 400 °C under N2.
109
It has to be noted that the resistance measurement becomes less reliable as the
resistance values increase, even though the errors appear tolerable. The values of over
100 MΩ reached after annealing samples from B1076 for 90 min are included in the
results, but should not be trusted. It was observed that the reliability of the resistors
weakened especially for B1076 resistors after several annealing steps. At first, 20 resistors
at random could be measured easily from each chip, while after 90 min of annealing,
many resistors did not give useable results. After 150 min, no data could be obtained for
B1076 resistors. The thicker film from wafer B1089 was more reliable, and results were
obtained, though with difficulty, even after 150 min of annealing.
Naturally, the reasons for this behavior were investigated.
AFM could not detect any changes in surface morphology for the annealed 76.7 nm film.
Table 9 shows the ToF-ERDA results for an annealed film in comparison to the asdeposited film, revealing several changes in chemical composition.
Table 9. Chemical composition for the 76.7 nm TiN film as-deposited and annealed for 2x30 min at
400 °C.
Film
Ti/N
[Cl] (at-%)
[O] (at-%)
[H] (at-%)
[C] (at-%)
76.7 nm
as-deposited
76.7 nm
annealed
0.94
6.59
4.1
2.34
0.08
1.00
6.42
6.28
1.90
0.16
The corresponding depth profile, unfortunately in a different scale than the profile for the
as-deposited film, is presented in Figure 64.
110
Figure 64. ToF-ERDA profile of a sample of the 76.7 nm TiN film annealed for 2x30 min at 400 °C.
Both results and profile show that no changes have occurred in the chlorine content of
the film or its distribution, implying that chlorine is not much affected by the elevated
temperatures during annealing and does not evaporate from the film as was suspected.
Higher chlorine content might cause a slightly higher resistivity of the film to begin with,
but it cannot not to be responsible for the increase in resistivity observed for the resistor
structures, as there is no possible source of additional chlorine in the environment used
for annealing treatments.
The carbon content was also found to increase during annealing. However, its relevance
is estimated to be minuscule, as its values are low with less than 0.2 at-% for all PEALD
TiN films. The Ti/N ratio is slightly higher in an annealed film and corresponds to ideal 1:1
stoichiometry. This is an indication of a loss of nitrogen during annealing until the
equilibrium stoichiometry was reached. Hydrogen also appears to be partly evaporating
under annealing, according to the profile mostly from the surface. The role of hydrogen
content in TiN films is less well studied than for other impurities, and the consequences of
the decrease in hydrogen are difficult to interpret.
111
Due to the rather low hydrogen concentrations (< 2.4 at-%) found in the TiN films
deposited in this study, their influence on film resistivity is assumed to be negligible.
It is most likely that the increase in resistance is connected to the oxygen in the TiN film.
ToF-ERDA shows that the total oxygen content of the annealed film has increased from 4
to 6 at-%. A rudimentary visual examination of the depth profile shows a roughly
unchanged oxygen concentration at the TiN-Si interface, but a decrease in surface oxygen
and a slight increase of oxygen concentration in the film. The most probable cause for this
is the diffusion of oxygen, rendered more mobile at elevated temperatures, from the
surface into the film. There is no sign that significant additional oxidation would have
occurred during annealing.
Another aspect besides the total amount and distribution of oxygen is its chemical state:
oxygen can be present in the film as interstitial impurity, replacing N atoms, or in a
separate phase. The elevated temperatures might have caused phase separation, from
impurities to a separate Ti-O phase, as well as transformations of one phase into another,
most importantly the transition of conductive TiO or Ti2O3 phases (Ernsberger et al. 1985)
into insulating or semiconducting TiO2. However, none of the techniques used in this
study observes the chemical or oxidation state of an element, and the total concentration
of oxygen is too low to form a separate, crystalline oxygen-containing phase in sufficient
amounts for detection through changes in the films’ XRD patterns.
The responsibility of chemical interactions of TiN with the aluminium used in the contact
pads for the increase in resistance is seen as unlikely, as the onset of such interactions
occurs at 550 °C (Wittmer et al. 1983), i.e. at a temperature far higher than used in the
annealing treatments.
112
5.4 Irradiation experiments
5.4.1 Irradiation with 10 MeV protons
No changes in resistivity were noted in preliminary measurements (n = 5 per chip) for any
of the structures on 25.6.2015. Further measurements (overall n = 20 per chip) around
20.7.2015 confirmed that the resistance of all four resistor structures has remained
unchanged after 10 MeV proton irradiation, as shown in Figure 65. The measurements
also showed that this irradiation did not have long-term (1-2 months) effects on
resistance.
Figure 65. Resistance of resistor structures from wafer B1089 as function of resistor size before
and after 10 MeV proton irradiation.
113
The autoradiogram (Figure 66) developed after an exposure time of two hours showed
that the TiN film had been activated to some extent – in the darker areas marked in the
autoradiogram, the sample was accidentally broken and pieces of the surface with silicon
and the TiN film were removed, proving that the observed activity was caused by a
nuclide in the TiN film. Also, the more short-lived activation products of silicon and
aluminium were assumed to have already decayed at that time.
1 cm
Figure 66. Autoradiogram of a 76.7 nm TiN film on a Si substrate irradiated with 10 MeV protons
after 2 h of exposure.
AFM could not show any any changes in the 76.7 nm film after irradiation. Table 10 shows
the ToF-ERDA results for an irradiated film in comparison to the as-deposited film- The
corresponding depth profile, unfortunately in a different scale than the profile for the asdeposited film, is presented in Figure 67.
Table 10. Chemical composition for the 76.7 nm TiN film as-deposited and irradiated with 4.7×1014
p/cm2.
Film
Ti/N
[Cl] (at-%)
[O] (at-%)
[H] (at-%)
[C] (at-%)
76.7 nm
as-deposited
0.94
6.59
4.10
2.34
0.08
76.7 nm
irradiated
0.97
6.93
4.28
2.46
0.17
114
Like annealing, also proton irradiation appears to cause a slight loss of nitrogen that
brings the film closer to ideal stoichiometry. The concentrations of all impurities appear
to have increased, but at least for chlorine this is unlikely. The increase on carbon content
is attributed to contamination, caused by more frequent handling of the sample. In total,
no significant changes were caused by irradiation.
Figure 67. ToF-ERDA profile of a sample of the 76.7 nm TiN film irradiated with 4.7×1014 p/cm2.
Recording of signals for the gamma spectroscopy was started 20 minutes after the end of
the irradiation and continued for 18167 s. The spectrum presented in Figure 68 was
obtained as a result.
115
Figure 68. Gamma spectrum of irradiated TiN resistor chips. Identified spectral peaks are
numbered.
The radionuclides causing the observed spectral peaks were identified by comparing their
energy to literature values in the Lund/LBNL Nuclear Data database (Chu et al. 1999).
Table 11 lists all significant peaks and their origin.
Table 11. Peaks observed in the gamma spectrum of proton-irradiated TiN resistor chips, their
origin and literature values for energy and intensity.
Peak
2
3
4
5
6
7
8
Energy in data
report (keV)
75.11
77.34
242.07
295.18
351.82
510.87
609.14
943.88
983.29
9
10
1039.07
1119.78
1
Origin
Bi Kα 2
Bi Kα 1
Pb-214
Pb-214
Pb-214
+
e - e annihilation
Bi-214
V-48
V-48
Sc-48
Bi-214
Bi-214
Literature value
of energy (keV)
74.815
77.107
242.0
295.2
351.9
Literature value
of intensity (%)
27.8
46.8
7.43
19.3
37.6
609.3
944.1
983.5
983.5
1038.0
1120.3
46.1
7.76
99.98
100
?
15.1
116
Table 11 continues
Peak
11
12
Energy in data
report (keV)
1156.77
1311.95
13
1494.66
14
1764.45
15
1823.53
16
17
18
2204.1
2241.15
2296.36
19
2615.84
20
2753.19
Origin
Sc-44
V-48
Sc-48
Coincidence of
peaks 5 and 8
Bi-214
Literature value
of energy (keV)
1157.0
1312.1
1312.1
Literature value
of intensity (%)
99.9
97.5
100
1764.5
15.4
2204.2
2240.4
5.1
2.4
2754.0
99.9
Coincidence of
peaks 5 and 12
Bi-214
V-48
Coincidence of
peaks 8 and 12
Coincidence of
two peaks 12
Na-24
214
Pb (t1/2 = 26.8 min) and its daughter 214Bi (t1/2 = 19.9 min) are naturally occurring
isotopes that belong to the 238U decay chain. Although the two isotopes themselves are
short-lived, their activities are sustained by their longer-lived mother nuclides which are
ubiquitous in the granite bedrock of Finland. (Atwood 2010) 214Pb and 214Bi are often seen
in gamma spectra measured with long recording times in the abovementioned detector.
48
V is the radioisotope with the highest activity of all nuclides identified from the gamma
spectrum (peaks 7, 8, 12, 17). This is in good agreement with earlier spectroscopies of
activated titanium from the cyclotron beam line, as well as the literature, where 48V is
frequently mentioned as activation product of titanium due to the high cross-section of
the 48Ti (p, n) reaction and the presence of several formation routes from almost all stable
titanium isotopes (Walke 1937, Szelecsenyi et al. 2001).
The intensity of the 48V gamma transitions is already sufficiently high to give rise to
several sum peaks (peaks 5, 8, 12). This could be avoided by diluting the sample or
increasing its distance to the detector, but both actions would drastically decrease the
detection efficiency and prevent the detection of isotopes present in lower activities.
117
Already now, the only other isotope resulting from titanium activation that could be
reliably identified was 44Sc (peak 11), the product of the (p,α) reaction of 48Ti. The same
peak could also belong to 44mSc, but this isotope should have a far more intense transition
at 271 keV, which is not visible.
A distinction between 48V and 48Sc is not possible with gamma spectroscopy, as both
decay back into the same stable isotope 48Ti (by positron emission and β- decay,
respectively) and thus have identical gamma transitions. However, 48Sc is not expected to
form in any of the common nuclear reactions induced by protons - for example, its
formation via the (p,α) reaction would require the isotope 52Ti, which is not a stable
titanium isotope and not expected to be formed in proton activation, either.
Peak 10 as such could have originate also from 46Sc, the product of a (p,α) reaction of the
stable 50Ti. Based on the lack of another peak at 889 keV, the first high-intensity gamma
transition of 46Sc, peak 10 was attributed to 214Bi instead.
5.4.2 Irradiation with 24 GeV/c protons
Due to delays in access to the irradiated samples, gamma spectroscopy was performed
only about six weeks after the end of the irradiation. At that point, most possible
activation products of titanium and all of nitrogen had already decayed. The only nuclides
visible, 7Be and 22Na, are common radioisotopes in irradiated silicon, present here as the
substrate material.
Similarly to 10 MeV proton irradiation, no change in resistance could be observed for the
samples irradiated with 24 GeV protons, as is seen in Figure 69. The four data points at
each fluence correspond to the samples from the four different wafer halves.
118
Figure 69. Resistance of resistor structures from both wafers and both halves as function of
resistors size before and after 24 GeV proton irradiation with doses of 5×1015 p/cm2 (W4L700) and
2×1016 p/cm2 (W4L3550).
5.4.3 Irradiation with gamma rays
The final absorbed dose was 102.8 ± 5.14 kGy for each sample. The irradiation report by
Scandinavian Clinics is attached to this thesis (appendix B). No activation was noticed, and
preliminary measurements (n = 5) indicated that there were no changes in resistance. The
samples were kept mostly at room temperature, after 25.6.2015 in the freezer at -20 C.
The final measurements (n = 20) performed on 20.7.2015 confirmed that resistance had
not changed due to gamma irradiation, and showed that gamma irradiation had no longterm (1-2 months) effects on the resistance. The resistances measured before and after
gamma irradiation are shown in Figure 70.
119
Figure 70. Resistance of resistor structures from wafer B1089 as function of resistor size before
and after 60Co gamma irradiation and a dose of 100 kGy.
5.4.4 Irradiation with x-rays
The resistance of the TiN resistors was measured for each chip (n = 5) after each
irradiation step. As shown in Figure 71, no change in resistance could be observed for
either of the samples, not even after a dose of 1 MGy/sample. The uncertainties are
much smaller for the chip from B1089 (22.9 nm) compared to the one from B1076 (12
nm), which concurs with the observation made during the annealing experiments that the
resistors from B1089 are more reliable.
120
Figure 71. Resistance of W4L700 resistors from B1076 and B1089 as function of 15-18 keV X-ray
dose.
6 Conclusions
Titanium nitride thin films were deposited with a newly developed plasma-enhanced ALD
process using TiCl4 as titanium precursor and a NH3/Ar mixture as nitrogen source and
plasma gas. Film growth was studied at different temperatures, and its self-saturating
nature and linearity were investigated. The properties of films of different thicknesses
and deposited under different deposition conditions were studied. At 300 °C, the growth
rate saturated at ca. 0.19 Å/cycle for plasma pulse lengths of 5 s and longer, and film
thickness increased linearly as a function of ALD cycle number. The growth rate appears
logical, considering that for thermal ALD of TiN, growth rates of around 0.17 Å/cycle are
frequently reported and higher growth rates in TiCl4-based processes are only reached in
some cases.
121
With the new process, TiN films could be deposited slightly, though not dramatically,
faster than with most published thermal ALD processes, and at a temperature of 300 °C
instead of the usually used 400-500 °C. Similarly to many TiN ALD processes, and ALD
window was not observed for this process, either.
The proof of self-limiting growth was instead given by the growth rate saturation at a
certain plasma pulse length and supported by the linearity of growth.
Film crystallinity increased noticeably with increasing thickness and slightly for increasing
temperature and plasma pulse length, as expected. For all films, the dominating
orientation was (200), concurring with the literature on TiN films deposited with chemical
methods. Compared to the reference and a thermally deposited film, the PEALD TiN films
showed clearly lower disposition for other orientations beside (200). It is not clear
whether this has an effect on film resistivity, or whether it might be advantageous for any
applications. Film conformality was assessed preliminarily and judged to be very good,
especially for a PEALD process. Films of different thicknesses were very smooth and
homogeneous over large areas, while large particles were found in the imaging of a
thermally deposited film.
The TiN films contained some oxygen, mostly due to surface oxidation and the native
oxide layer of the used silicon substrates. Surface oxidation is reported to be very
common, if not unavoidable, for TiN thin films, and did not appear to influence resistivity
significantly. The chlorine concentration of the films was higher than in a large part of the
literature on TiN ALD, even though PEALD is often praised for improving film purity. It is
concluded that either chlorine content is almost unaffected by the use of a plasma and is
influenced by temperature only (which was relatively low in the new process), or that the
use of NH3 plasma was in this case the cause for higher chlorine concentration in the
films. The latter is considered more probable, since the TiCl4-H2/N2 PEALD process
reaches lower chlorine concentrations even at 100 °C. The NH3 plasma might, besides
promoting desired surface reactions, also have contributed to the dissociation of
adsorbed and already desorbed chlorine-containing byproducts, leading to increased
chlorine amounts in the film.
122
Whether caused by chlorine or other factors, the resistivities of the TiN films deposited
with the new PEALD process were high compared to most publications. No direct
correlation of resistivity to any process parameter or impurity content could be observed,
except for a slight increase with increasing chlorine content. High resistivities of TiN thin
films are undesirable for most applications, but for the application as bias resistor studied
in this work, the new PEALD process proved more promising than processes described in
the existing literature. The only ALD TiN films with comparable or higher resistivities were
deposited thermally from TDMAT and NH3, and these were of bad quality with low
densities and 40 % oxygen.
It is very likely, however, that other processes and approaches leading to high-resistivity
TiN thin films of better quality have been discovered earlier, but were deemed unworthy
of publishing, because the studies’ objective were films with low resistivities.
Processing of TiN thin films into bias resistor structures was uncomplicated. The
fabrication of TIN thin films into bias resistors involved thin film deposition, mask
application, etching and resist stripping, then the same steps for the fabrication of
aluminium contact pads, and finally aluminium sintering / resistor annealing. TiN could be
etched with a relatively harmless 30 % H2O2 solution at 50 °C that does not attack the
other materials, and was in its turn left undamaged by aluminium etching and photoresist
stripping. Application, development and removal of the photoresist layer were
unproblematic, except for part of the smallest structures on one wafer that remained
underetched. This should be overcome by more careful (probably longer) photoresist
development, and the immediate removal of bubbles from the wafer surface during TiN
etching. Deposition of TiN thin films by PEALD is slower than by PVD or CVD methods, but
this is compensated by the excellent film uniformity and conformality provided by ALD.
Both aluminium and TiN photolithographies are selective for their respective materials
and the obtained bias resistor structures were mostly flawless. All processing steps,
except thermal oxidation of the silicon wafers, remain at temperatures of 400 °C or
below.
123
The annealing does not require protection of the rest of the wafer surface nor exceptional
conditions, it can be performed by simply prolonging the aluminium sintering step
necessary in any case. TiN forms ohmic contacts with silicon and aluminium without
additional doping, and the resistors can be tested immediately after processing with a
simple IV measurement.
The additional ion implantation and annealing steps for resistivity increasing and ohmic
contact formation in poly-Si are not necessary for TiN resistors. This allows the removal of
two mask layers, two doping steps and at least one annealing step from the overall
processing, making it more straightforward and therefore both faster and more
economical.
It was noticed that the common process step of aluminium sintering at 400 °C under inert
gas caused an increase in resistance. This behavior was studied further, and drastic
increases in resistance of up to three orders of magnitude were observed for all resistor
structures on both wafers. The resistance value desired for the bias resistors is not
expected to exceed 10 MΩ, which does not extend into the area where both
measurements and resistors would become unreliable. The described annealing
procedure therefore appears to be a very straightforward way to raise the resistance of
the TiN resistor structures to a desired value. Plausible explanations for this behavior are
an increase in oxygen concentration, changes in the state of oxygen in the films or
changes in film microstructure, such as crystal grain size. These theories could not be
verified during this study due to the lack of suitable analysis methods, and require further
investigation. Energy dispersive x-ray spectroscopy (EDX) or electron energy loss
spectroscopy (EELS), integrated into a transmission electron microscope (TEM), are
proposed for this purpose, as they would allow the determination of chemical
composition of film and film-substrate interface from a cross-section sample with very
high accuracy on a nanometer scale. X-ray photoelectron spectroscopy (XPS), a probably
more easily available technique, could give indirect information about the identities of
titanium-containing compounds and phases in the film through analyzing the oxidation
states of titanium, which are different for e.g. TiO, TiO2 and TiN. However, XPS is limited
to surface regions of 2-3 nm.
124
Even though the reason for the increase in resistivity under annealing could not be
verified yet, it is assumed that the achievement of high resistivities can be repeated in the
fabrication of real detectors, as the aluminium sintering and annealing conditions used
there are very similar to the conditions in the annealing experiments performed in this
study. An aspect that should receive attention in the future is the behavior of the
resistivity of TiN with temperature, which was not studied in the presented work. Silicon
detectors may be operated at lower temperatures than the -20 °C achievable with
conventional IV measurement setups.
For poly-Si, resistivity tuning occurs by implantation of dopant atoms like boron,
phosphorus or arsenic instead of annealing. Doping is a routine procedure, but is still an
additional processing step during which the device’s other materials must be protected
by mask layers. In addition, the dopants are activated by annealing at very high
temperatures of 900-1100 °C. The dopants make the electrical behavior of poly-Si more
complicated and affect its long-term stability due to their interaction with grain
boundaries and charge carrier traps. Even though it is not discussed in the literature,
there is good reason to believe that radiation damage in poly-Si has similar effects as in
monocrystalline detector bulk silicon, most importantly here the formation of positively
charged acceptor defects that compensate donor dopants and finally an inversion from ntype to p-type. This should lead to a change – assumably an increase – in resistivity over
time, hampering the reliability and operability of the entire detector.
The processed TiN bias resistor chips were irradiated with 15 keV and 1.3 MeV photons as
well as 10 MeV and 24 GeV protons. Since the application does not involve mechanical
action and TiN is used as approximately 20 nm thin film, possible increases in stress and
brittleness, the most common radiation-induced effects in metallic materials, are not of
relevance. None of the performed irrradiations had any effect on the electrical
performance of the resistors, i.e. their resistivity, which proves that their radiation
hardness with respect to this application is excellent. However, irradiation experiments
should be performed also with resistors annealed to their final desired resistance values.
125
As expected, no activation was observed after photon irradiation – much higher photon
energies would be required for that. For X-ray irradiation, it is strongly recommended to
extend the experiments presented here to higher doses, which could not be reached with
the setup used for the work presented in this thesis. If TiN bias resistors could withstand
X-ray irradiation with doses of e.g. 1 GGy without significant performance degradation,
they would be significantly superior to poly-Si bias resistors, for which resistor failure
after 100 MGy has been reported.
Spectroscopy after 10 MeV proton irradiation revealed the presence of a few radioactive
activation products, most importantly 48V. This isotope is ubiquitous in irradiated
titanium, but is relatively short-lived with a half-life of 16 days, and does not present a
problem even after irradiation with high fluences. All activation products of nitrogen are
so short-lived that they decay completely within some minutes, and would have require
an in situ gamma measurement setup in order to be observed.
Further irradiation studies on TiN, preferably with fluences that induce measurable or
visible changes in the material, might be interesting also from the point of view of basic
research, as virtually no literature exists on them. Again, TEM could be suitably sensitive
measurement method, in addition to gamma spectrometry. Nuclear reactions of titanium
have been studied extensively, but most articles about this topic are from the 1970’s and
thus relatively old.
In summary, the potential of titanium nitride as thin-film bias resistors for future
capacitive-coupled segmented silicon detectors was investigated. In order to deposit TiN
thin films with good uniformity and conformality at temperatures under 400 °C, a new
plasma-enhanced atomic layer deposition process was developed. Both the process and
the films’ properties were studied thoroughly. The processing of TiN thin films into
resistor structures was uncomplicated, and it was observed that the resistance of the
resistor structures could be raised to sufficiently high values by simple annealing
treatments at 400 °C under N2. Irradiations with photons and protons of different
energies had no noticeable effect on the films’ properties.
126
It is concluded that TiN thin films deposited by the described PEALD process have
outstanding potential for use as bias resistors in segmented silicon detectors and are
expected to withstand even high particle fluences and luminosities.
Whether TiN thin-film bias resistors are going to be seen in silicon particle detectors
depends on their performance in further studies, total production cost in comparison to
poly-Si, and their general acceptance by the scientific community. Even if the outcome of
the previous aspects is favorable for TiN, the collider and detector design of future highenergy physics experiments will play a central role: also detectors achieving spatial
resolution by other means than capacitively coupled pixel and strip detectors, for
example n-in-p 3D detectors, are studied at this moment. The superconductivity of TiN
thin films, which can set in below 5 K, is unproblematic in the present detector
environment, but might interfere with the use of TiN in cryogenic silicon detecors. The
mentioned factors involve many evaluations and decisions, the results of which cannot
possibly be predicted by the author.
127
7 References
Abromeit, C. Aspects of simulation of neutron damage by ion irradiation, J. Nucl.
Mater. 1994, 216, 78–96
Ahn, C.H., Cho, S.G., Lee, H.J., Park, K.H., Jeong, S.H. Characteristics of TiN thin films
grown by ALD using TiCl4 and NH3, Met. Mater. Int. 2001, 7, 621–625
ALEPH Collaboration, DELPHI Collaboration, L3 Collaboration, OPAL, Collaboration, The
LEP Working Group for Higgs Boson Searches. Search for the Standard Model Higgs boson
at LEP, Phys. Lett. B 2003, 565, 61–75
Atkins, P., Overton, T., Rourke, J.P., Weller, M.T., Armstrong, F.A. Shriver and Atkins’
Inorganic Chemistry, 5th ed., p. 625, Oxford University Press (2010), Oxford, UK
ATLAS Collaboration. Observation of a new particle in the search for the Standard Model
Higgs boson with the ATLAS detector at the LHC, Phys. Lett. B 2012, 716, 1–29
Atwood, D.A. Radionuclides in the environment, 1st ed., John Wiley & Sons Ltd (2010),
Chichester, West Sussex, UK
Baggetto, L., Niessen, R.A.H., Roozeboom, F., Notten, P.H.L. High Energy Density All-SolidState Batteries: A Challenging Concept Towards 3D Integration, Adv. Funct. Mater. 2008,
18, 1057–1066
Baturina, T.I., Islamov, D.R., Bentner, J., Strunk, C., Baklanov, M.R. Satta, A.
Superconductivity on the Localozation Threshold and Magnetic-Field-Tuned
Superconductor-Insulator Transition in TiN films, JETP Lett. 2004, 79, 337–341
Birkholz, M., Ehwald, K.-E., Kulse, P., Drews, J., Fröhlich, M., Haak, U., Kaynak, M.,
Matthus, E., Schulz, K., Wolansky, D. Ultrathin TiN Membranes as a Technology Platform
for CMOS-Integrated MEMS and BioMEMS Devices, Adv. Funct. Mater. 2011, 21, 1652–
1656
Brodzinski, R.L., Rancitelli, L.A., Cooper, J.A., Wogman, N.A. High-Energy Proton Spallation
of Titanium, Phys. Rev. C 1971, 4, 1250–1257
Caccia, M., Evensen, L., Hansen, T.E., Horisberger, R., Hubbeling, L., Peisert, A., Tuuva, T.,
Weilhammer, P., Zalewska, A Si strip detector with integrated coupling capacitors, Nucl.
Inst. Meth. Phys. Res. A 1987, 260, 124–131
128
Casse, G. Overview of the recent activities of the RD50 collaboration on radiation
hardening of semiconductor detectors for the sLHC, Nucl. Instr. Meth. Phys. Res. A 2009,
598, 54–60
Chatterjee, S., Chandrashekar, S., Sudarshan, T.S. Review: Deposition processes and metal
cutting applications of TiN coatings, J.Mater. Sci. 1992, 27, 3409–3423
Chen, C.-H., Fang, Y.-K., Yang, C.-W., Wang, T.-W., Hsu, Y.-L., Hsu S.-L. Nitrogen Implanted
Polysilicon Resistor for High-Voltage CMOS Technology Application, IEEE Electron Device
Letters 2001, 22, 542-526
Choppin, G.R., Liljenzin, J.-O., Rydberg, J. Radiochemistry and Nuclear Chemistry, 3rd
edition, Butterworth-Heinemann (2002), Woburn, MA, USA
Chu, S.Y.F., Ekström, L.P., Firestone, R.B. The Lund/LBNL Nuclear Data Search, Version 2.0,
1999, <http://nucleardata.nuclear.lu.se/toi/index.asp>, 1.6.15
Cotton, F. A., Wilkinson, G. Advanced Inorganic Chemistry, 5th ed., p. 4, 312, 654, John
Wiley & Sons, Inc. (1988), New York City
Coumou, P.C.J.J., Zuiddam, M.R., Driessen, E.F.C., de Visser, P.J., Baselmans, J.J.A.,
Klapwijk, T.M. Microwave properties of superconducting atomic-layer deposited TiN
films, IEEE Transactions on Applied Superconductivity, 23 (2013), 3
De Geronimo, G., O’Connor, P., Radeka, V., Yu B. Front-end electronics for imaging
detectors, Nucl. Instr. Meth. Phys. Res. A 2001, 471, 192–199
Dew-Hughes, D., Jones, R. The effect of neutron irradiation upon the superconducting
critical temperature of some transition-metal carbides, nitrides, and carbonitrides, Appl.
Phys. Lett. 1980, 36, 856
Dierlamm, A. Silicon detectors for the SLHC – An overview of recent RD50 results, Nucl.
Instr. Meth. Phys. Res. A 2010, 624, 396–400
Dijkstra, H. Overview of silicon detectors, Nucl. Instr. Meth. Phys. Res. A 2002, 478, 37–45
Elam, J.W., Schuisky, M., Ferguson, J.D., George, S.M. Surface chemistry and film growth
during TiN atomic layer deposition using TDMAT and NH3, Thin Solid Films 2003, 436,
145–156
Elers, K.-E., Saanila, V., Soininen, P. J., Li, W.-M., Kostamo, J. T., Haukka, S., Juhanoja, J.,
129
Besling, W. F. A. Diffusion Barrier Deposition on a Copper Surface by Atomic Layer
Deposition, Chem. Vap. Dep. 2002, 8, 149–153
Enlow, E.W., Pease, R.L., Combs, W., Schrimpf, R.D., Nowlin, R. N. Response of
advanced bipolar processes to ionizing radiation, IEEE Transactions on Nuclear Science
1991, 38, 1342-1351
Ernsberger, C., Nickerson, J., Miller, A., Banks, D. Contact resistance behavior of titanium
nitride, J.Vac. Sci.Technol. A 1985, 3, 2303–2307
French, P.J. Polysilicon: a versatile material for microsystems, Sens. Actuators A 2002, 99,
3–12
George, S.M. Atomic Layer Deposition: An Overview, Chem. Rev. 2010, 110, 111–131
Giardino, P.P., Kannike, K., Raidal, M., Strumia, A. Reconstructing Higgs boson properties
from the LHC and Tevatron data, J.High Energy Phys. 2012, 117, 1-20
Hadacek, N., Sanquer, M., Villégier, J.-C. Double reentrant superconductor-insulator
transition in thin TiN films, Phys. Rev. B 2004, 69, 02450-1–7
Hahn, B.H., Jun, J.H., Joo, J.H. Plasma conditions for the deposition of TiN by biased
activated reactive evaporation and dependence of the resistivity on preferred
orientation, Thin Solid Films 1987, 153, 115–122
Hartmann, F. Silicon tracking detectors in high-energy physics, Nucl. Instr. Meth. Phys.
Res. A 2012, 666, 25–46
Hartmann, F., Sharma, A. Multipurpose detectors for high energy physics, an
introduction, Nucl. Instr. Meth. Phys. Res. A 2012, 666, 1–9
Haynes, W.M. CRC Handbook of Chemistry and Physics, 96th ed. 2015-2016,
<http://www.hbcpnetbase.com/>, 2.10.15
Heaney, M.B. Electrical Conductivity and Resistivity, 2004, CRC Press LLC,
<https://www.academia.edu/2719392/Electrical_conductivity_and_resistivity>, 17.10.15
Heil, S.B.S., Langereis, E., Roozeboom, F., van de Sanden, M.C.M., Kessels, W.M.M. Lowtemperature deposition of TiN by plasma-assisted atomic layer deposition, J. Electrochem.
Soc. 2006, 153, 956–965
130
Higgs, P.W. Broken symmetries, massless particles and gauge fields, Phys. Lett. 1964, 12,
132–133
Hiltunen, L., Leskelä, M., Mäkelä, M., Niinistö, L., Nykänen, E., Soininen, P. Nitrides of
titanium, niobium, tantalum and molybdenum grown as thin films by the atomic layer
epitaxy method, Thin Solid Films 1988, 166, 149–154
Huang, R.-S., Cheng, C.-H., Liu, N.C., Lee, M.K., Chen, C.T. Electrical measurements on ionimplanted LPCVD polycrystalline silicon films, Solid-State Electron. 1983, 26, 657–665
Hultman, L. Thermal stability of nitride thin films, Vacuum 2000, 57, 1–30
Inoue, S., Kimura, M., Shimoda, T. Analysis and Classification of Degradation Phenomena
in Polycrystalline-Silicon Thin Film Transistors Fabricated by a Low-Temperature Process
Using Emission Light Microscopy, Jpn. J. Appl. Phys. 2003, 42, 1168–1172
Jakobs, K. Physics at the LHC and sLHC, Nucl. Instr. Meth. Phys. Res. A 2011, 636, S1–S7
Jeon, H., Lee, J.-W., Kim, Y.-D., Kim, D.-S., Yi, K.-S. Study on the characteristics of TiN thin
film deposited by the atomic layer chemical vapor deposition method, J.Vac. Sci.Technol.
A 2000, 18, 1595–1598
Jokinen, J., Keinonen, J., Tikkanen, P., Kuronen, A., Ahlgren, T., Nordlund, K. Comparison
of TOF-ERDA and nuclear resonance reaction techniques for range profile measurements
of keV energy
implants, Nucl. Instr. Meth. Phys. Res. B 1996, 119, 533–542
Juppo, M., Alén, P., Ritala, M., Sajavaara, T., Keinonen, J., and Leskelä, M. Atomic layer
deposition of titanium nitride thin films using tert-butylamine and allylamine as reductive
nitrogen sources, Electrochem. Solid-State Lett. 2002, 5, C4-C6
Juppo, M., Rahtu, A., Ritala, M. In Situ Mass Spectrometry Study on Surface Reactions in
Atomic Layer Deposition of TiN and Ti(Al)N Thin Films, Chem. Mater. 2002, 14, 281–287
Juppo, M., Ritala, M., Leskelä, M. Use of 1, 1-Dimethylhydrazine in the Atomic Layer
Deposition of Transition Metal Nitride Thin Films, J. Electrochem. Soc. 2000, 147, 3377–
3381
Kantele, J. Handbook of Nuclear Spectrometry, Academic Press Inc. (1995), San Diego, CA,
USA
131
Kariniemi, M., Niinistö, J., Hataanpää, T., Kemell, M., Sajavaara, T., Ritala, M., Leskelä, M.
Plasma-enhanced Atomic layer deposition of silver thin films, Chem. Mater. 2011, 23,
2901–2907
Kim, H. Characteristics and applications of plasma enhanced-atomic layer deposition, Thin
Solid Films 2011, 519, 6639–6644
Kim, J., Hong, H., Oh, K., Lee, C. Properties including step coverage of TiN thin films
prepared by atomic layer deposition, Appl. Surf. Sci. 2003, 210, 231–239
Knoll, G.F. Radiation detection and measurement, 4th edition, John Wiley & Sons Inc.
(2010), Hoboken, NJ, USA
Kónya, J., Nagy, N.M. Nuclear and Radiochemistry, 1st edition, Elsevier (2012), Waltham,
MA, USA
Kuhn, K.J. Moore's Law past 32nm: Future Challenges in Device Scaling, IEEE 13th
International Workshop on Computational Electronics 2009, 1–6
Lamarsh, J.R., Baratta, A.J. Introduction to Nuclear Engineering, 3rd Edition, p. 53-79,
Prentice Hall Inc. (2001), Upper Saddle River, NJ, USA
Lane, W.A., Wrixton, G.T. The Design of Thin-Film Poly silicon Resistors for Analog IC
Applications, IEEE Transactions on electron devices 1989, 36, 738–744
Langereis, E., Heil, S.B.S., van de Sanden, M.C.M., Kessels, W.M.M. In situ spectroscopic
ellipsometry study on the growth of ultrathin TiN films by plasma-assisted atomic layer
deposition, J. Appl. Phys. 2006, 100, 023534-1–10
Lee, D.W., Roh, T.M., Park, H.S., Kim, J., Koo, J.G., Kim, D.Y. Fabrication technology of
polysilicon resistors using novel mixed process for analogue CMOS applications, Electron.
Lett. 1999, 35, 603–604
Leskelä, M., Ritala, M. Atomic Layer Deposition (ALD): from precursors to thin film
structures, Thin Solid Films, 2002, 409, 138–146
Lindström, G. Radiation damage in silicon detectors, Nucl. Instr. Meth. Phys. Res. A 2003,
512, 30–43
Lindström, G., Moll, M., Fretwurst, E. Radiation hardness of silicon detectors – a challenge
for high-energy physics, Nucl. Instr. Meth. Phys. Res. A 1999, 426, 1–15
132
Lu, N.C.-C., Lu, C.-Y. Characteristics of polysilicon resistors at high electric field and the
non-uniform conduction mechanism, Solid-State Electron. 1984, 27, 797–805
Luukka, P.-R. Characterization of Czochralski silicon detectors, Doctoral thesis, 2006,
Helsinki Institute of Physics, Helsinki
Machunze, R., Janssen, G.C.A.M. Stress and strain in titanium nitride thin films, Thin Solid
Films 2009, 517, 5888–5893
Mahan, J.E., Newman, D.S., M.R. Gulett, Gigaohm-Range Polycrystalline Silicon Resistors
for Microelectronic Applications, IEEE Transactions on electron devices 1983, 30, 45–51
Mäntymäki, M., Heikkilä, M. J., Puukilainen, E., Mizohata, K., Marchand, B., Räisänen,
J. Ritala, M., Leskelä, M. Atomic Layer Deposition of AlF3 Thin Films Using Halide
Precursors, Chem.Mater. 2015, 27, 604–611
Mayadas, A.F., Shatzkes, M. Electrical-Resistivity Model for Polycrystalline Films: the Case
of Arbitrary Reflection at External Surfaces, Phys. Rev. B 1970, 1, 1381–1389
Meng , L.-J. , dos Santos , M.P. Characterization of titanium nitride films prepared by d.c.
reactive magnetron sputtering at different nitrogen pressures, Surf. Coat.Technol.1997,
90, 64–70
Michel, R., Gloris, M., Lange, H.-J., Leya, I., Lüpke, M., Herpers, U., Dittich-Hannen, B.,
Rösel, R., Schiekel, Th., Filges, D., Dragovitsch, P., Suter, M., Hofmann, H.-J., Wölfli, W.,
Kubik, P.W., Baur, H., Wieler, R. Nuclide production by proton-induced reactions on
elements (6 < Z < 29) in the energy range from 800 to 2600 MeV, Nucl. Instr. Meth.
Phys. Res. B 1995, 103, 183–222
Miikkulainen, V., Leskelä, M., Ritala, M., Puurunen, R.L. Crystallinity of inorganic films
grown by atomic layer deposition: Overview and general trends, J. Appl. Phys. 2013, 113,
021301-1–101
Mochizuki, Y., Okamoto, Y., Ishitani, A., Hirose, K. On the Reaction Scheme for Ti/TiN
Chemical Vapor Deposition (CVD) Process Using TiCl4, Jpn. J. Appl. Phys. 1995, 34, 326–
329
Moll, M. Radiation damage in silicon particle detectors – microscopic defects and
macroscopic properties, Doctoral thesis, 1999, DESY, Hamburg
133
Moll, M. Development of radiation hard sensors for very high luminosity colliders—CERNRD50 project, Nucl. Instr. Meth. Phys. Res. A 2003, 511, 97–105
Moll, M., Fretwurst, E., Lindström, G. Leakage current of hadron irradiated silicon
detectors – material dependence, Nucl. Instr. Meth. Phys. Res. A 1999, 426, 87–93
Moniruzzaman, S., Inokuma, T., Kurata, Y., Takenaka, S., Hasegawa, S. Structure of
polycrystalline silicon films deposited at low temperature by plasma CVD on substrates
exposed to different plasma, Thin Solid Films 1999, 337, 27–31
Morillo, J., de Novion, C.H., Dural, J. Neutron and electron radiation defects in titanium
and tantalum monocarbides: An electrical resistivity study, Radiat. Eff., 1981, 55, 67–78
Moser, H.-G. Silicon detector systems in high energy physics, Prog. Part. Nucl. Phys. 2009,
63, 186–237
Mozumder, A. Fundamentals of radiation chemistry, p. 35–37, Academic Press Inc. (1999),
San Diego, CA, USA
Musschoot, J., Xie, Q., Deduytsche, D., Van den Berghe, S., Van Meirhaeghe, R.L.,
Detavernier, C. Atomic layer deposition of titanium nitride from TDMAT precursor,
Microelectron. Eng. 2009, 86, 72–77
Myers, H.P., Introductory Solid-State Physics, 2nd edition, Taylor & Francis Inc. (1997),
Bristol, PA, USA
Nakabayashi , M., Ohyama, H., Simoen, E., Ikegami, M., Claeys, C., Kobayashi, K., Yoneoka,
M., Miyahara, K. Effects of mechanical stress on polycrystalline-silicon resistors, Thin Solid
Films 2002, 406, 195–199
Niyomsoan, S., Grant, W., Olson, D.L., Mishra, B. Variation of color in titanium and
zirconium nitride decorative thin films, Thin Solid Films 2002, 415, 187–194
Patsalas, P., Kalfagiannis, N., Kassavetis, S. Optical Properties and Plasmonic Performance
of Titanium Nitride, Materials 2015, 8, 3128–3154
Patsalas, P., Logothetidis, S. Optical, electronic, and transport properties of
nanocrystalline titanium nitride thin films, J. Appl. Phys. 2001, 90, 4725–4734
134
Pereira, M.C., Martins, M.J., Bonnaud, O. Thin Film Transistors Gas Sensors: Materials,
Manufacturing Technologies and Test Results, Electronics and Electrical Engineering 2009,
89, 39–44
Phillips, T.W., Cable, M.D., Cowan, T.E., Hatchett, S.P., Henry, E.A., Key, M.H., Perry, M.D.,
Sangster, T.C., Stoyer, M.A. Diagnosing hot electron production by short pulse, high
intensity lasers using photonuclear reactions, Rev. Sci. Instrum. 1999, 70, 1213–1216
Price, J.B., Borland, J.O., Selbrede, S. Properties of chemical-vapor-deposited titanium
nitride, Thin Solid Films 1993, 236, 311–318
Profijt, H. B., Potts, S.E., van de Sanden, M.C.M., Kessels, W.M.M. Plasma-Assisted Atomic
Layer Deposition: Basics, Opportunities, and Challenges, J. Vac. Sci. Technol. A 2011, 29,
050801-1–26
Race, C.P., Mason, D.R., Finnis, M.W., Foulkes, W.M.C., Horsfield, A.P., Sutton, A.P. The
treatment of electronic excitations in atomistic models of radiation damage in metals,
Rep. Prog. Phys. 2010, 73, 116501-1–40
Reich, E.S. Physicists plan to build a bigger LHC, Nature 2013, 503, 177
Ritala, M., Leskelä, M., Dekker, J.-P., Mutsaers, C., Soininen, P. J., Skarp, J. Perfectly
Conformal TiN and Al2O3 Films Deposited by Atomic Layer Deposition, Chem. Vap. Dep.
1999, 5, 7–9
Ritala, M., Leskelä, M., Rauhala, E., Jokinen, J. Atomic Layer Epitaxy Growth of TiN Thin
Films from Til4 and NH3, J. Electrochem. Soc. 1998, 145, 2914–2920
Rydberg, M., Smith, U. Long-Term Stability and Electrical Properties of Compensation
Doped Poly-Si IC-Resistors, IEEE Transactions on electron devices 2000, 47, 417–426
Saito, Y., Mizushima, I., Kuwano, H. Conduction mechanism of high-resistivity
polycrystalline silicon films, J. Appl. Phys. 1985, 57, 2010–2013
Satta, A., Schuhmacher, J., Whelan, C.M., Vandervorst, W., Brongersma, S.H., Beyer, G.P.,
Maex, K., Vantomme, A., Viitanen, M.M., Brongersma, H.H., Besling, W.F.A. Growth
mechanism and continuity of atomic layer deposited TiN films on thermal SiO2, J. Appl.
Phys. 2002, 92, 7641–7646
Schubert, U., Hüsing, N. Synthesis of Inorganic Materials, 2nd ed., p. 71-108, Wiley-VCH
Verlag GmbH & Co. KGaA (2005), Weinheim, Germany
135
Serro, A.P., Completo, C., Colaço, R., dos Santos, F., Lobato da Silva, C., Cabral, J.M.S.,
Araújo, H., Pires, E., Saramago, B. A comparative study of titanium nitrides, TiN, TiNbN
and TiCN, as coatings for biomedical applications, Surf. Coat. Technol. 2009, 203, 3701–
3707
Sherwood, T.R., Turchinetz, W.E. Some photo-disintegration reactions in the titanium
isotopes, Nucl. Phys. 1962, 29, 292–299
Song, B., Nakamatsu, H,. Sekine, R., Mukoyama, T., Taniguchi, K. Valence band structures
of titanium nitride and titanium carbide calculated with chemically complete clusters, J.
Phys. Condens. Matter 1998, 10, 9443–9454
Sundgren, J.-E. Structure and properties of TiN coatings (Metallurgical and protective
coatings), Thin Solid Films 1985, 128, 21–44
Sze, S.M., Ng, K.K. Physics of semiconductor devices, 3rd edition, John Wiley & Sons Inc.
(2007), Hoboken, NJ, USA
Szelecsenyi, F., Tarkanyi, F., Takacs, S., Hermanne, A., Sonck, M., Shubin, Y., Mustafa,
M.G., Youxiang, Z. Excitation function for the natTi(p, x)48V nuclear process: Evaluation
and new measurements for practical applications, Nucl. Instr. Meth. Phys. Res. B 2001,
174, 47-64
Tarkanyi, F., Szelecsenyi, F., Kopecky, P. Cross section data for proton, 3He and α-particle
induced reactions on natNi, natCu and natTi for monitoring beam performance, in Qaim,
S.M. (ed.) Nuclear Data for Science and Technology, 529-532, Springer-Verlag (1992),
Berlin
Tiznado, H., Zaera, F. Surface Chemistry in the atomic layer deposition of TiN films from
TiCl4 and ammonia, J. Phys. Chem. B 2006, 110, 13491–13498
Tompkins, H. G. Oxidation of titanium nitride in room air and in dry O2, J. Appl.Phys.
1991, 70, 3876
Tuovinen, E., Härkönen, J., Luukka, P., Tuominen, E., Verbitskaya, E., Eremin, V.,
Ilyashenko, I., Pirojenko, A., Riihimäki, I., Virtanen, A., Leinonen, K. Czochralski silicon
detectors irradiated with 24 GeV/c and 10 MeV protons, Nucl. Instr. Meth. Phys. Res. A
2006, 568, 83–88
136
Turala, M. Silicon tracking detectors—historical overview, Nucl. Instr. Meth. Phys. Res. A
2005, 541, 1–14
Uhm, J., Jeon, H. TiN diffusion barrier grown by atomic layer deposition method for Cu
metallization, Jpn. J. Appl. Phys. 2001, 40, 4657–4460
Van Bui, H., Kovalgin, A. Y., Wolters, R. A. M. Growth of Sub-Nanometer Thin Continuous
TiN Films by Atomic Layer Deposition, ECS J. Solid State Sci.Technol. 2012, 1, 285–290
Walke, H. The Induced Radioactivity of Titanium and Vanadium, Phys. Rev. 1937, 52, 777–
787
Was, G.S. Fundamentals of Radiation Materials Chemistry. Metals and Alloys. SpringerVerlag (2007), Berlin
Wirth, B.D., Caturla, M.J., Diaz de la Rubia, T., Khraishi, T., Zbib, H. Mechanical property
degradation in irradiated materials: A multiscale modelling approach, Nucl. Instr. Meth.
Phys. Res. B 2001, 180, 23–31
Wittmer, M., Noser, J. R., Melchior, H. Characteristics of TiN gate metal-oxidesemiconductor field effect transistors, J. Appl. Phys. 1983, 54, 1423–1428
Xie, S., Cai, J., Wang, Q., Wang, L., Liu, Z. Properties and Morphology of TiN films
deposited by atomic layer deposition, Tsinghua Sci. Technol. 2014, 19, 144–149
Zhang, J., Fretwurst, E., Klanner, R., Perrey, H., Pintilie, I., Poehlsen T., Schwandt J. Study
of X-ray radiation damage in silicon sensors, J. Inst. 2011, 6, C11013-1–10
Zhang, S., Zhu, W. TiN coating of tool steels: a review, Journal of Materials Processing
Technology 1993, 39, 165–177
Zhao, J., Garza, E.G., Lam, K., Jones, C.M. Comparison study of physical vapor-deposited
and chemical vapor-deposited titanium nitride thin films using X-ray photoelectron
spectroscopy, Appl. Surf. Sci. 2000, 158, 246–251
Ziock, H.J., Milner, C., Sommer, W.F., Cartiglia, N., DeWitt, J., Dorfan, D., Hubbard, B.,
Leslie, J., O'Shaughnessy, K.F., Pitzl, D., Rowe, W.A., Sadrozinski, H.F.-W., Seiden, A.,
Spencer, E., Tennenbaum, P., Ellison, J., Jerger, S., Lietzke, C., Wimpenny, S.J., Ferguson,
P., Giubellino, P. Tests of the Radiation Hardness of VLSI Integrated Circuits and Silicon
Strip Detectors for the SSC Under Neutron, Proton, and Gamma Irradiation, IEEE
Transactions on Nuclear Science 1991, 38, 269–276
137
Appendix A. Table of all TiN thin film depositions in the Beneq TFS-200 ALD reactor relevant for this thesis.
Batch
code
Number
of cycles
Thicknes Growth
Roughness Density Temperature Resistivity
Plasma pulse
s acc. to
rate
acc. to XRR acc. to
(°C)
(mΩcm)
length (s)
XRR (nm) (Å/cycle) (nm)
XRR
B1067
2000
17.0
0.085
1.1
4.0
250
3.46545 5
B1068
ca. 1500 27.0
0.18
0.6
4.7
300
2.4462 5
B1069
1000
18.2
0.182
0.8
4.1
300
3.545178 5
B1070* 2000
27.7
0.1385
0.7
4.3
275
1.882215 5
B1071
1000
21.7
0.217
0.8
4.4
325
1.081311 5
B1072* 1500
27.0
0.18
0.6
4.6
300
0.795015 10
B1075
ca. 1050 13.6
0.13
1.2
4.1
300
1.293768 2.5
B1076
640
12
0.1875
0.9
4.1
300
0.76104 5
B1078
980
14.2
0.145
0.9
4.1
300
12.8652 2.5
B1079
1000
10.8
0.108
0.6
5.0
300
no data 7.5
B1080
2000
9.7
0.0485
0.6
4.9
300
15.37935 5, N2 plasma
B1081
4000
24.3
0.06
0.7
4.0
300
1.4200191 5
B1082
513
9.8
0.1910
0.7
3.7
300
887.88 7.5
B1083
1200
20.7
0.1725
1.0
3.9
300
3.75084 5
B1084* 4000
76.7
0.19175
0.7
4.2
300
0.9207452 5
B1086
1200
22.0
0.1833
1.1
3.9
300
1.1959 7.5
B1087* 2000
38.9
0.1945
0.8
4.2
300
0.9515718 5
B1088
1000
15.4
0.154
0.9
4.1
300
1.430121 5. NH3 20 sccm
B1089
1300
22.9
0.176
1.0
4.0
300
1.244844 5
B1090* 1700
27.2
0.16
0.9
4.0
300
0.985728 2.5
B1092
1400
19.6
0.14
0.9
3.9
275
2.042124 5
B1093
800
13.2
0.165
0.9
4.0
300
1.255716 5
B1132
3800
69.1
0.182
1.4
3.6
300
0.7870875 Red: abnormal results; Blue: deposited on full-size wafer, green: conformality substrates added; * : sample for ToF-ERDA
Runs: 3/0,5/3/2/5 (purge – TiCl4 – purge – wait – plasma); B1115: 15/3/10/5/10
Plasma power (W)
100
100
100
100
110
100
100
100
100
100
150
50
50
50
50
100
100
100
100
100
100
100
thermal
Appendix B. Irradiation certificate for the 60Co gamma irradition of TiN resistor samples.