* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project
Download The American University in Cairo School of Science and Engineering
Survey
Document related concepts
Transcript
The American University in Cairo School of Science and Engineering High Speed Serial Links for On-Chip Networking A thesis submitted in partial fulfillment of the requirements for the Degree of the Master of Science in Electronics Engineering EENG Department, School of Science and Engineering By: Abdelrahman H. Elsayed Under the supervision of: Professor. Yehea Ismail Dr. Maged Ghoneima July 2014 Cairo, Egypt The American University in Cairo Department of Electronics Engineering (EENG), School of Science and Engineering (SSE) High Speed Serial Links for On-Chip Networking A thesis submitted by: Abdelrahman Hesham Elsayed Ahmed In partial fulfillment of the requirements for the degree of Master of Science in Electronics Engineering has been approved by: Thesis Supervisor Program Director Affiliation : Date : Date : Thesis Internal Examiner Department Chair Affiliation : Date : Date : Thesis External Examiner Dean of Graduate Studies Affiliation : Date : Date : ii To My Parents . . . iii Acknowledgements I would like to extend my gratitude to all the people who helped me through my Masters program and my research. First, I would like to thank my advisor professor Yehea Ismail for giving me the opportunity of being part of his great team, for being a great mentor, and for his motivation, enthusiasm, and great knowledge. I would like to thank my co-advisor, Dr. Maged Ghoneima, for his guidance, help, and enlightenment throughout this research. His contributions in shaping my research skills, and in giving me new perspectives on career and life have been priceless. I wish to thank my research partner, Ramy Tadros, who has been a great help for me throughout this research with his ideas, diligence, and enthusiasm. In addition, I would like to thank Hoda Hesham for her contribution in the layout part of my research. Also, I would like to thank Ayman Eltaliawy and Taher Kotb for their priceless assistance in the CAD tools setup and problems solving. A special thanks to my family. Words cannot express how grateful I am to my mother, father, and sisters for their endless love, support, and patience. Finally, I would like to thank the whole CND team, professors, researches, and administration. They have been great people to work with, live with, and to have fun with. iv You can’t jump straight to the end, the journey is the best part. Robin Scherbatsky The American University in Cairo Abstract School of Science and Engineering Electronics Engineering Department Master of Science High Speed Serial Links for On-Chip Networking by Abdelrahman H. Elsayed Future technologies will allow the integration of hundreds of billions of transistors on a single chip allowing the fabrication of chips with hundreds of processing cores. So, IC designers should focus on the communication between these cores in order to meet the design requirements in terms of speed, area, power consumption, and time to market constraints. Using conventional parallel buses to transmit data on-chip is not efficient anymore in terms of area, given that in new technologies interconnects do not scale at the same rate as transistors do, and in terms of power due to the large number of drivers, repeaters, and buffers. Also, parallel buses suffer from timing errors due to jitter, and cross talk that eventually limit the performance. One of the solutions to solve these on-chip communication issues is to replace conventional parallel buses with serial links. Although serial communication for both on-chip and off-chip look similar, different problems are faced while designing each of them, leading to different design requirements. Many publications already proposed solutions based on serial links, and dealt with the inter symbol interference on their interconnects using equalization, frequency translation using high frequency carrier signal or using data encoding, or using resistive terminated interconnects. vi This thesis discusses the on-chip interconnect characteristics, and the difference between them and their off-chip counterparts. Based on their characteristics, the design problems of on-chip interconnects are identified, and solutions are proposed. The thesis proposes a new architecture that multiplexes both data and clock on serial links, reduces inter symbol interference by using a resistive termination technique, and uses two-level Manchester encoding to solve the reduced swing problem and enable the use of power efficient circuitry. Using this signaling scheme makes the system jitter insensitive, and avoids the need for a power hungry clock and data recovery circuit. A self-calibrating digital-delay line is also implemented inside the decoder to enable the system to operate efficiently across process, voltage and temperature variations. The proposed architecture is prepared to be fabricated using the UMC 0.13 µm CMOS technology. Finally, the proposed system’s testing challenges are discussed, and an onchip testing setup is proposed so that the system meets the design for testability requirements to facilitate the system testing after fabrication. The testing setup is designed for the previously mentioned tape-out, and for another tape-out using the GF 65nm CMOS technology. vii Contents Acknowledgements iv Abstract vi Contents viii List of Figures xi List of Tables xiii Abbreviations xiv Symbols xv 1 Introduction 1.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 Interconnects 2.1 Introduction . . . . . . . . . . . . . . . . 2.2 Background . . . . . . . . . . . . . . . . 2.3 Dispersion ... The Problem . . . . . . . . 2.4 Dispersion ... Solutions in The Literature 2.4.1 Equalization . . . . . . . . . . . . 2.4.2 Frequency Conversion . . . . . . 2.4.2.1 Frequency Modulation . 2.4.2.2 Encoding . . . . . . . . 2.4.3 Resistive Termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1 5 6 6 7 10 12 12 13 13 14 16 3 On-chip SERDES with resistive termination 19 3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 viii Contents 3.2 3.3 Literature Review . . . . . . . . . . . . . . . . . . . . The Proposed Design . . . . . . . . . . . . . . . . . . 3.3.1 Interconnect and Termination . . . . . . . . . 3.3.2 Signaling Scheme . . . . . . . . . . . . . . . . 3.3.3 The Full System . . . . . . . . . . . . . . . . 3.3.4 Transmitter . . . . . . . . . . . . . . . . . . . 3.3.4.1 Serializer . . . . . . . . . . . . . . . 3.3.4.2 Manchester Encoder . . . . . . . . . 3.3.4.3 Driving Buffers . . . . . . . . . . . . 3.3.5 Receiver . . . . . . . . . . . . . . . . . . . . . 3.3.5.1 Reconstruction Buffers . . . . . . . . 3.3.5.2 Manchester Decoder . . . . . . . . . 3.3.5.3 Deserializer . . . . . . . . . . . . . . 3.3.5.4 Programmable Delay Line . . . . . . 3.3.6 Simulation Results . . . . . . . . . . . . . . . 3.3.7 Layout . . . . . . . . . . . . . . . . . . . . . . 3.3.7.1 Layout of The Proposed Transmitter 3.3.7.2 Layout of The Proposed Receiver . . 4 Design for Testing 4.1 Introduction . . . . . 4.2 Testing Setup . . . . 4.3 Testing Protocol . . 4.4 Testing Setup Layout 4.5 The Final Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 23 23 24 26 27 28 30 31 31 32 33 37 38 42 47 48 52 . . . . . 57 57 57 61 62 66 5 Summary and Future Work 67 5.1 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 5.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 A Used MATLAB Codes 72 A.1 Interconnect frequency response . . . . . . . . . . . . . . . . . . . . 72 B Used Verilog Codes B.1 Programmable Delay Line Control Code B.2 Testing Setup Code . . . . . . . . . . . . B.2.1 Demultiplexer . . . . . . . . . . . B.2.2 Multiplexer . . . . . . . . . . . . B.2.3 Control Register . . . . . . . . . B.2.4 Frequency Register . . . . . . . . B.2.5 Frequency Counter . . . . . . . . ix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 73 74 74 74 75 76 76 Contents B.2.6 B.2.7 B.2.8 B.2.9 B.2.10 B.2.11 B.2.12 B.2.13 B.2.14 B.2.15 8-bit Register . . . . . . 2*8-bit Register . . . . . 4*8-bit Register . . . . . 8*8-bit Register . . . . . 16*8-bit Register . . . . 32*8-bit Register . . . . In-Bank . . . . . . . . . Out-Bank . . . . . . . . SERDES TEST SETUP Thermometer Decoder . x . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77 78 79 79 80 80 81 81 82 83 List of Figures 1.1 1.2 1.3 Advancement in the VLSI industry . . . . . . Intel core i7 die map . . . . . . . . . . . . . . Relative delays of gate, local interconnect, and Vs. Technology nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . global interconnect . . . . . . . . . . . . 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 2.9 2.10 2.11 2.12 3 Interconnect for On-chip network . . . . . . . . . . . . . . . . . . Interconnect Representation . . . . . . . . . . . . . . . . . . . . . Interconnect Modeling . . . . . . . . . . . . . . . . . . . . . . . . Interconnect’s attenuation vs. Frequency . . . . . . . . . . . . . . Interconnect’s propagation speed vs. Frequency . . . . . . . . . . The concept of equalization . . . . . . . . . . . . . . . . . . . . . Three-level manchester encoding . . . . . . . . . . . . . . . . . . . The spectrum of a 12 Gbps random data stream . . . . . . . . . . The spectrum of a 12 Gbps encoded data . . . . . . . . . . . . . . Step response of an interconnect terminated with a capacitance . Step response of an interconnect terminated with a resistance . . Step response of an interconnect terminated with the optimal resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.13 Link’s responses for capacitive and resistive termination . . . . . . . 18 . 18 3.1 3.2 3.3 3.4 3.5 3.6 3.7 3.8 3.9 3.10 3.11 3.12 3.13 . . . . . . . . . . . . . Full system for the design proposed in [8] . . . . . Signaling scheme used in [8] . . . . . . . . . . . . Full system for the design proposed in [9] . . . . . Proposed interconnect cross section . . . . . . . . Manchester encoding . . . . . . . . . . . . . . . . Proposed full system . . . . . . . . . . . . . . . . Shift register as a serializer [10] . . . . . . . . . . DETFF-based serializer . . . . . . . . . . . . . . Manchester encoder . . . . . . . . . . . . . . . . . Manchester encoder simulation . . . . . . . . . . Manchester signal before and after reconstruction Manchester signal decoding first observation . . . Manchester signal decoding second observation . . xi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 3 7 7 8 10 11 13 14 15 15 16 17 20 21 22 24 26 27 28 29 30 31 32 34 34 List of Figures 3.14 3.15 3.16 3.17 3.18 3.19 3.20 3.21 3.22 3.23 3.24 3.25 3.26 3.27 3.28 3.29 3.30 3.31 3.32 3.33 3.34 3.35 3.36 3.37 3.38 3.39 3.40 3.41 Manchester signal decoding third observation . . . . . . . . . . . . . Manchester signal decoding fourth observation . . . . . . . . . . . . Manchester decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . Manchester decoder simulation . . . . . . . . . . . . . . . . . . . . The deserializer used in the proposed system . . . . . . . . . . . . . Programmable delay line block diagram . . . . . . . . . . . . . . . . Programmable delay line schematic . . . . . . . . . . . . . . . . . . Programmable delay line operation . . . . . . . . . . . . . . . . . . Wave forms of the Manchester signal at both ends of the interconnect Proposed system’s important signals . . . . . . . . . . . . . . . . . The eye diagram of the received signal . . . . . . . . . . . . . . . . The eye diagram of the extracted data . . . . . . . . . . . . . . . . The eye diagram of the extracted clock . . . . . . . . . . . . . . . . The eye diagram of the deserialization clock . . . . . . . . . . . . . The proposed serializer’s layout . . . . . . . . . . . . . . . . . . . . The proposed encoder’s layout . . . . . . . . . . . . . . . . . . . . . Clock generator’s layout . . . . . . . . . . . . . . . . . . . . . . . . Driving buffer’s layout . . . . . . . . . . . . . . . . . . . . . . . . . Proposed transmitter’s floor plan . . . . . . . . . . . . . . . . . . . Proposed transmitter’s layout . . . . . . . . . . . . . . . . . . . . . The proposed decoder’s layout . . . . . . . . . . . . . . . . . . . . . The reconstruction buffer’s layout . . . . . . . . . . . . . . . . . . . Layout of FFs Equivalent delay line . . . . . . . . . . . . . . . . . . Layout of the delay line . . . . . . . . . . . . . . . . . . . . . . . . Clock generator’s layout . . . . . . . . . . . . . . . . . . . . . . . . Deserializer’s layout . . . . . . . . . . . . . . . . . . . . . . . . . . . Proposed receiver’s floor plan . . . . . . . . . . . . . . . . . . . . . Proposed receiver’s layout . . . . . . . . . . . . . . . . . . . . . . . 35 35 36 37 38 39 40 41 43 44 45 45 46 46 48 48 49 49 50 51 52 52 53 53 54 54 55 56 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 Testing setup . . . . . . . . . . . . . . . Digital controlled oscillator . . . . . . . . Digital controlled oscillator characteristic Testing setup floor plan . . . . . . . . . Testing setup layout . . . . . . . . . . . Thermometer decoder layout . . . . . . . DCO layout . . . . . . . . . . . . . . . . The Final Layout . . . . . . . . . . . . . 58 60 60 63 64 65 65 66 xii . . . . . . . . curve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . List of Tables 3.1 3.2 3.3 Comparison between the designs in [8] and [9] . . . . . . . . . . . . 22 Interconnect’s characteristics . . . . . . . . . . . . . . . . . . . . . . 24 Design Comparison . . . . . . . . . . . . . . . . . . . . . . . . . . . 47 xiii Abbreviations SerDes Serializer Deserializer TL Transmission Line ISI Inter Symbol Interference RLC Resistance Capacitance Inductance CDR Clock and Data Recovery Circuit DCO Digital Controlled Oscillator TX Transmitter RX Receiver ADPLL All Digital Phase Locked Loop MUX Multiplexer DEMUX Demultiplexer FF Flip Flop DFF D Flip Flop xiv Symbols P Power Watts (Js−1 ) V Voltage Volt I Current Ampere C Capacitance Farad L Inductance Henry R Resistance Ohm (Ω) l Length meter T Temperature Kelvin/Celsius (◦ K/◦ C) ω angular frequency rads−1 Cv Vertical Capacitance Farad/millimeter Ch Horizontal Capacitance Farad/millimeter xv Chapter 1 Introduction 1.1 Motivation By the invention of the transistor in the beginning of the twentieth century, electronics and electronics-supported systems started to play a vital role in every body’s daily life. From transistor radios and giant computers to almost every single device used in our lives, electronics industry, and science as well, are experiencing big leaps thanks to the transistor’s scaling property. In the sixties of the last century Gordon E. Moore, co-founder of Intel Corporation, observed that over the history of computing hardware, the number of transistors in a dense integrated circuit doubles approximately every two years as shown in figure 1.1, a trend that revolutionized every single aspect in our modern lives. So, thanks to the constant advancement in the VLSI fabrication process, the transistors’ sizes are getting smaller, transistors are getting faster, and as mentioned earlier the number of transistors that can be put on one chip is increasing following the well known Moore’s law. As shown in figure 1.1 (c) the conventional way of benefiting from that advancement was by increasing the operational frequency of the chip to be close to 1 Chapter 1. Introduction Figure 1.1: Advancement in the VLSI industry [1] a-Number of transistors b-Single-thread performance c-Frequency d-Typical Power e-Number of cores the maximum speed of the transistors. Designers kept increasing the operational frequency until that increase was stopped by the power barrier as shown in figure 1.1 (d) starting a new era, the Many-core era. In the Many-Core era, designers utilized the huge number of transistors that can be put on one chip to have more than one processing core as shown in figure 1.2, each of these cores operate at a low frequency, while getting a very high overall performance that a single core cannot get, while consuming the same power and having the same design simplicity. So future technologies will allow the fabrication of chips with hundreds, and maybe thousands, of processing cores. But as shown in figure 1.3, the International Technology Roadmap for Semiconductors (ITRS) predicts that as the technology scales, the interconnect delay increases, while the gate delay decreases. So, each of these cores will be so powerful, but the communication between them is going to be the main bottleneck that will limit the overall performance. Therefore, huge 2 Chapter 1. Introduction Figure 1.2: Intel core i7 die map Figure 1.3: Relative delays of gate, local interconnect, and global interconnect Vs. Technology nodes [2] emphasis should be put on the communication between the on-chip cores to achieve the overall design requirements in terms of speed, area, and power consumption. Normally all operations inside on-chip cores are executed in a parallel manner. So, the conventional way of communication between these cores was parallel 3 Chapter 1. Introduction communication. In parallel communication, buses consist of parallel links each representing one bit, and has its own driver, repeaters, and buffer. Although the use of parallel communication sounds like the most logical solution, parallel communication is not efficient anymore in terms of area as on-chip interconnect do not scale with the same rate as transistors do, and because of the increase in the number of bits which is reflected directly into number of links what makes the routing process very difficult. Parallel communication is also not efficient in terms of power consumption as each link requires its on power-hungry drivers, repeaters, and buffers. Furthermore, parallel communication suffer from timing errors due to jitter, skew, and cross talk which will eventually limit the system’s performance and make the system’s reliability questionable. With all the drawbacks of the parallel communication in mind, designers started looking for another way of core-to-core communication the solves these problems. Serial communication, which is a technique that was used for years in off-chip communication due to the limited number of pins sounded like a plausible solution. In serial communication instead of using a bus that has N links each representing one bit and working at XGbps , it uses only one link operating at X ∗ N Gbps solving the complex routing issue, and saving both area and power. However, adequate care should be given to the very high data rates on the link and the link’s response to them. As serial communication was initially used in off-chip communication, many techniques are already proposed that can be used in on-chip communication. However, the difference between off-chip and on-chip interconnects should be taken into account. As off-chip interconnects are wider than on-chip interconnects, the signal’s attenuation and the frequency responses of each will be different. In order to transmit the data and recover it at the receiver correctly, the transmitter and the receiver need to operate using the same clock, same frequency and phase, and to achieve that goal different clocking schemes can be used such 4 Chapter 1. Introduction as common, forward, and embedded clocking. The selection of the right clocking technique for the system is crucial, especially in systems operating at very high data rates such as serial links’ front ends. Embedded clocking sounds like a promising technique, in which both the clock and the data are multiplexed to generate only one signal to be transmitted over the serial link making the system jitter insensitive, and eliminating the need for complex, area and power hungry CDR. 1.2 Thesis Organization Chapter 2 in this thesis discusses the interconnects, their characteristics, problems, and solutions. Chapter 3 includes some Literature review followed by the proposed design discussed in detail. Then, chapter 4 discuses preparing the system to be testable after fabrication. After that, chapter 5 concludes the thesis, and states the intended future work. Finally, Appendices A and B contain the used Matlab and Verilog codes, respectively. 5 Chapter 2 Interconnects 2.1 Introduction In future technologies on-chip networks’ performance will be the main factor that is going to shape the overall performance of the whole system. Interconnects are the elements responsible for carrying the data between on-chip cores, and are of the most important network elements. Therefore, a good understanding of on-chip interconnects characteristics is essential for any designer to identify the problems associated with them, to know how they differ from off-chip interconnects to be able to reuse the proposed techniques in off-chip designs efficiently, and to benefit from their characteristics to enhance designs’ performance and reliability as well. In this chapter interconnects’ basics are discussed in detail in section 2.2 providing the required information for a good understanding of the interconnects, their characteristics, and the most important problems they introduce to the system. Then, in section 2.3 dispersion is discussed in detail, and a literature review for the most effective solutions for this problem is provided in section 2.4. 6 Chapter 2. Interconnects 2.2 Background Figure 2.1: Interconnect for On-chip network A network on-chip in its simplest forms consists of a transmitter sending some data to a receiver in another core on the same chip through a transmission medium known as an interconnect. That system can be represented as shown in figure 2.1 in which the transmitter can be represented by a source with a series resistance, and the receiver can be represented as a load impedance. The characteristics of the transmitter, the receiver, and the interconnect will play a vital role in determining the overall system’s performance. Figure 2.2: Interconnect Representation Physically an interconnect is a metal track connecting a transmitter and a receiver, but a good understanding of its electric characteristics is mandatory to have a well functioning, and reliable design. As shown in figure 2.2 an interconnect is considered ideally a short circuit that will have no effect whatsoever on 7 Chapter 2. Interconnects the transmitted signal which is totally not true in real life interconnects. In real designs instead of being an ideal short circuit, interconnects tend to have resistive, capacitive, and inductive effects with different degrees of effectiveness depending on the interconnect’s shape, dimensions, and surrounding environment. Off-chip interconnects are wide enough to have very low parasitic resistance when compared to its parasitic capacitance and inductance, therefore, off-chip interconnects can be considered as lossless LC transmission lines. On the other hand, on-chip interconnects have very small dimensions leading to a high parasitic resistance that cannot be neglected anymore, therefore, on-chip interconnects will be considered as lossy RLC transmission lines. Figure 2.3: Interconnect Modeling Generally speaking, any interconnect can be modeled with successive RLC sections as shown in figure 2.3. The interconnect can be treated as a transmission line with a propagation constant ‘γ’ as in equation 2.1 with the real part ‘α’ representing the attenuation per distance, and the imaginary part ‘β’ representing the phase shift per distance from which the propagation speed can be determined as in equation 2.2. Generally, the propagation constant, attenuation, and propagation speed depend on the transmission line’s parameters and the signal’s frequency. 8 Chapter 2. Interconnects s √ γ = jω LC 1+ v= R = α + jβ jωL ω β (2.1) (2.2) As mentioned earlier in this section, off-chip interconnects can be considered as lossless transmission lines and their resistance can be safely assumed to be zero. Therefore, when substituting in equation 2.1 with the resistance equal to zero, the propagation constant of the off-chip interconnect will be as in equation 2.3. Equation 2.3 shows that off-chip interconnects have a propagation constant that has only an imaginary part with the real part, representing the attenuation, equal to zero leading to a lossless transmission line. From the imaginary part in the propagation constant the propagation speed in the off-chip interconnect is found to be constant depending only on the characteristics of the interconnect and independent of the signal’s frequency as in equation 2.4. √ γ = jω LC v= ω 1 ω = √ =√ β ω LC LC (2.3) (2.4) When going on-chip all dimensions get smaller and as the resistance is directly proportional to the cross sectional area, on-chip interconnects are characterized to have very high parasitic resistance that can not be neglected. Due to the high parasitic resistance the propagation constant of the on-chip interconnects will remain as in equation 2.1. In this case both the attenuation constant, and the propagation speed values will depend on the interconnect characteristics and the signal’s frequency as well. 9 Chapter 2. Interconnects 2.3 Dispersion ... The Problem As mentioned in section 2.2 on-chip interconnects are considered lossy transmission lines with propagation constant as in equation 2.1 in which the resistance cannot be assumed to be zero. Equation 2.1 was evaluated for an on-chip interconnect with 18.17Ω/mm parasitic resistance, 1nH/mm parasitic inductance, 868.5f F/mm parasitic capacitance, and across a frequency range from 1 MHz to 1 THz. The real part of the equation’s output, representing the attenuation per millimeter, was plotted versus the frequency as shown in figure 2.4. The imaginary part of the equation was used to evaluate the propagation speed and plot it versus the frequency as in figure 2.5. The used MATLAB code is included in Appendix A.1. As shown in figures 2.4 and 2.5 the values of both the attenuation and the propagation speed vary with the frequency, starting very low near the DC and approaching their saturation values at high frequencies. Figure 2.4: Interconnect’s attenuation vs. Frequency 10 Chapter 2. Interconnects Figure 2.5: Interconnect’s propagation speed vs. Frequency Random data streams contain frequency components that are spread along the whole frequency spectrum, therefore, while propagating through an on-chip interconnect the signal’s frequency components will suffer from different attenuation values and will travel with different propagation speeds. Dispersion is a very serious problem that exists in systems using on-chip interconnects. In on-chip interconnects high frequency components tend to travel with a very high speed approaching the speed of light, while low frequency components propagate with lower speeds. As a result of the different propagation speeds between frequency components, the signal will suffer from the dispersion. Signals traveling through on-chip interconnects suffer from both attenuation and dispersion. If the attenuation was below certain level it can be an easy problem to be solved at the receiver side. On the other hand, dispersion is a catastrophic phenomena that causes inter symbol interference. Inter symbol interference causes successive bits to interfere with each other at the receiver side making it very difficult for the receiver to reconstruct the received signal correctly. 11 Chapter 2. Interconnects 2.4 Dispersion ... Solutions in The Literature With the increasing need for on-chip core-to-core communication and with the use of on-chip interconnects, a flag was raised to alert all designers that the dispersion problem must be dealt with carefully, and effective solutions must be proposed. Since that time, many dispersion solution have been proposed. Some of the proposed solutions tried to manipulate the spectrum of the transmitted signal, while other solutions tried to alter the frequency response of the interconnect itself. In this section, some of the proposed solutions in the literature are discussed. 2.4.1 Equalization The idea of equalization has been used in communication systems for decades, has shown good performance, and was relatively mature by the time the dispersion started to cause serious problems. Therefore, equalization was one of the very first techniques that was adapted to solve the dispersion in SERDES designs such as in [3]. In equalization, a block placed at either the transmitter, the receiver, or both that is called the equalizer is used to remove the signal’s dispersion. The equalizer is a block that has a transfer function, H(s), that is exactly the inverse of the inteconnect’s transfer function L(s) = H −1 (s), therefore, when a signal is passed through an equalizer, H(s), then is passed through an interconnect, L(s), the signal experiences a total overall transfer function of H(s) ∗ L(s) as in figure 2.6, and if the equalizer was properly designed the signal will arrive at the receiver side dispersion-free. Although the equalization technique sounds like a good solution for dispersion it is some times avoided because of its design complexity and power consumption. 12 Chapter 2. Interconnects Figure 2.6: The concept of equalization 2.4.2 Frequency Conversion By inspecting figures 2.4 and 2.5, the first thought that arises instantaneously is to shift the signal’s frequency components into the saturation region of the interconnect’s frequency response. The shift in frequency can be achieved either by frequency modulation, or by signal encoding. 2.4.2.1 Frequency Modulation The most famous method that can used to make frequency conversion to solve the dispersion problem is the well known up-conversion frequency modulation like the one used in [4]. In up conversion frequency modulation, a very high frequency carrier signal is mixed with the original data to shift the signal’s spectrum to be around the frequency of the carrier signal. Although this technique is based on a very simple idea, it is very complex to implement, and mandates the use of signals with very high frequencies making the system power inefficient. 13 Chapter 2. Interconnects 2.4.2.2 Encoding Another approach that can be used to shift the frequency components of the transmitted signal into the saturation region in the intterconnect’s frequency response to avoid dispersion, is changing the signaling scheme using signal encoding. Encoding is a very useful tool that can be used to achieve more than one goal at the same time. Encoding can be used to provide data security by using a certain encoding technique at the transmitter side with the decoding technique known for the wanted receivers only. Encoding can be used to multiplex data and clock on only one signal to be sent from the transmitter to the receiver to make embeddedclocking systems which are jitter insensitive. Most importantly in this section, encoding can be used to make frequency translation. The selection of the right encoding technique can solve the dispersion problem completely. In [5] and [6] the three-level Manchester encoding technique shown in figure 2.7 was used to shift the frequency components of a random data stream with the spectrum shown in figure 2.8 into high frequencies region to have a spectrum like the one shown in figure 2.9 making the signal less affected by dispersion. Figure 2.7: Three-level manchester encoding [5] 14 Chapter 2. Interconnects Although this technique solves the dispersion problem, it uses two clock periods to represent one bit. Hence, the system losses half the bandwidth, and the circuits need to operate at very high frequencies to provide a convincing throughput. Figure 2.8: The spectrum of a 12 Gbps random data stream [5] Figure 2.9: The spectrum of a 12 Gbps encoded data [5] 15 Chapter 2. Interconnects 2.4.3 Resistive Termination The previously mentioned solutions have a common trend as all of them were trying to make changes to the spectrum of the transmitted signal to solve the dispersion problem. Another method that can be used to eliminate the dispersion is by changing the frequency response of the interconnect so that all the signal’s frequency components will suffer from the same amount of attenuation and will travel with the same propagation speed. One approach to change the response of the interconnect is by using optimal resistive termination as proposed in [7]. Figure 2.10: Step response of an interconnect terminated with a capacitance [7] Normally a receiver’s front end starts by a transistor’s gate. Hence, the interconnect is effectively terminated with a capacitance. In the capacitive termination case the interconnect behave normally and its response will be as shown in figures 2.4 and 2.5 causing inter symbol interference. This effect can be visualized by applying a step signal to the interconnect. As can be seen in figure 2.10 an initial step of amplitude with the value Vs e−αl appears rapidly at the receiver side due to the high frequency components traveling with very high speed through the interconnect. Later on, and with the RC effect, the low frequency components arrive at the receiver side after charging the whole line to the source’s voltage Vs . 16 Chapter 2. Interconnects Hence, the output value will take time to reach the value of Vs , or by the same concept zero. This effect was previously defined in this thesis as dispersion. If the interconnect was terminated using a general resistance RL , the main change in this case will be that the output will charge only to VH which is given by equation 2.5 with the initial step having the same value as before Vs e−αl as shown in figure 2.11. VH = VS RL Rline,total + RL (2.5) Figure 2.11: Step response of an interconnect terminated with a resistance [7] It is clear that to have no dispersion all frequencies must arrive at the receiver side at the same time. By inspecting the value of the initial step that depends only on the interconnect’s length and the attenuation value at high frequency, and the value of the final step from equation 2.5. The optimal termination resistance can be calculated by equating both values as in equation 2.6. Figure 2.12 shows the effect of using the optimal termination resistance on the step at the receiver side. It is clear that all frequency components arrive at the same time at the receiver side solving the dispersion problem while attenuating the signal severely which is the main drawback of this technique. 17 Chapter 2. Interconnects e−αl Rline,total 2Zo = =e − RL Rline,total + RL (2.6) The previously discussed effect can be translated into the interconnect’s frequency response as shown in figure 2.13. Therefore, with the use of the optimal termination resistance the interconnect’s frequency response can be flattened, all frequency components will be affected equally, and the dispersion can be avoided. The main trade off now is dispersion against attenuation. Figure 2.12: Step response of an interconnect terminated with the optimal resistance [7] Figure 2.13: Link’s responses for capacitive and resistive termination [5] 18 Chapter 3 On-chip SERDES with resistive termination 3.1 Introduction Chapters 1 and 2 emphasized the importance of the on-chip interconnects, and the design challenges associated with them as well. One of the most important design problems is the signal dispersion, and some of the proposed solutions in the literature were discussed in section 2.4. The selection of the dispersion solution technique is the first step in designing an on-chip link, based on which the rest of the design challenges can be determined, and the path that the designer would take in finalizing the design can be specified. In this thesis, the dispersion solution that is adapted is the resistive termination technique. Using this technique the dispersion problem can be almost solved, but other design problems arise due to the use of that technique, like the severely reduced swing at the receiver side, which must be dealt with to have a well functioning and reliable system. 19 Chapter 3. On-chip SERDES with resistive termination In section 3.2 of this chapter two of the recently published systems in the literature that use the resistive termination technique to solve the dispersion problem are discussed. After that, section 3.3 includes the proposed design discussed in detail, a proposed design that tries to have the advantages of the designs discussed in the former section and to avoid their disadvantages as well. 3.2 Literature Review After the resistive termination technique was proposed in [7], it started to prove itself as a promising solution for the dispersion problem. Many designs were published using this technique while addressing the problems associated with the whole design in terms of speed, area, power consumption, and reliability as in [8] and [9]. The design proposed in [8], with the full system as shown in figure 3.1, used the resistive termination technique to solve the dispersion problem. In favor of making the system jitter insensitive the designer proposed the use of embedded clocking technique, in which both the data and the clock are multiplexed on one signal so that they can be extracted at the receiver side without the need for complex power hungry CDR circuit. To achieve that goal, the paper proposed the use of the signaling technique shown in figure 3.2, instead of just sending the data stream from the transmitter to the receiver. Figure 3.1: Full system for the design proposed in [8] As shown in figure 3.2, each bit in the serial data is encoded in a three level signal for a duration of one clock cycle. Each logic “0” is serially represented by a 20 Chapter 3. On-chip SERDES with resistive termination Figure 3.2: Signaling scheme used in [8] zero followed by VDD /2. On the other hand, each logic “1” is serially represented by VDD followed by VDD /2. Although this signaling technique generated a signal that has information about both the data and the clock making the system jitter insensitive, it used a three level scheme that is so difficult to detect at the receiver side with the severely attenuated swing due to the use of resistive termination. Also, this signaling scheme does not reserve its DC level making the task even more difficult to detect the signal at the receiver side. Because of the previously mentioned drawbacks of this design, the paper proposed the use of thresholdprogrammable inverters at the receiver side to detect the signal correctly but on the expense of making the design more complicated. The design proposed in [9], with the full system as shown in figure 3.3, used the resistive termination technique to solve the dispersion problem. The design used two level signaling scheme to deal with the severely reduced swing due to the use of the resistive termination technique. After serializing the parallel data, the serial data is sent directly to receiver with no encoding whatsoever. the paper proposed the use of common clocking technique, in which the clock is being generated out side of both the transmitter and the receiver. Hence, the system is jitter sensitive, less reliable, and needs synchronizing circuits at the receiver side which will complicate the design and increase the power consumption. Also, the used signaling 21 Chapter 3. On-chip SERDES with resistive termination scheme suffer from a varying DC level which is so devastating when dealing with a severely reduced swing. Figure 3.3: Full system for the design proposed in [9] Table 3.1 summarizes the comparison between the previously discussed designs. Based on this comparison and after the clarification of the pros and cons of each design, performance expectations of the prospective design can be established. Hussein et al., 2012 [8] Rhew et al., 2012 [9] Dispersion Solution Resistive temination Resistive temination Clocking Embedded clocking Common clocking System is jitter insensitive System is jitter sensitive Three level Two level Varying Varying -Programmable inverters Synchronization circuits Jitter effect Signaling levels DC level Additional needs -Extra supply Table 3.1: Comparison between the designs in [8] and [9] 22 Chapter 3. On-chip SERDES with resistive termination 3.3 The Proposed Design After inspecting most of the published work in the on-chip SERDES with resistive termination area and especially those designs discussed in section 3.2, it is now clear that to get closer to the optimal solution any proposed design should take the following into consideration: • Solve the dispersion problem • Make the system jitter insensitive • Maintain the signal’s DC level • Tolerate the reduced swing (If the resistive termination technique is used) • Reduce the design complexity • Reduce the power consumption Therefore, this thesis proposes a new architecture that multiplexes both the data and the clock on a serial link, reduces Inter symbol interference by using the resistive termination technique, and uses two-level Manchester encoding to solve the reduced swing problem and enable the use of power efficient circuitry. Using this signaling scheme makes the system jitter insensitive, and avoids the need for a power hungry clock and data recovery circuit. In this section the proposed system’s ideas, circuits, simulations, and layout are discussed in detail. 3.3.1 Interconnect and Termination The proposed system was designed using a 3mm long differential on-chip interconnect implemented using intermediate metal layer the cross section of which is shown in Figure 3.4. Table 3.2 summarizes the characteristics of the used interconnect. The table also shows that the interconnect has a relatively high parasitic 23 Chapter 3. On-chip SERDES with resistive termination resistance which cannot be neglected and will affect the response of the interconnect as previously discussed in this thesis in section 2.4. Figure 3.4: Proposed interconnect cross section Width Spacing Inductance Resistance Cv Ch 2µm 1.5µm 1.66nH/mm 31.4Ω/mm 220.5fF/mm 10fF/mm Table 3.2: Interconnect’s characteristics To overcome the dispersion problem, the resistive termination technique is to be used. The value of the optimal resistance that should be used to flatten the interconnect’s frequency response and solve the dispersion problem can be obtained from equation 2.6. For the used interconnect the estimated Rline,total is 94.2Ω and its Zo equals 83Ω, therefore, the optimal resistance, RL , is 123Ω. 3.3.2 Signaling Scheme After using the resistive termination technique to solve the dispersion problem, the output of the interconnect will be as in figure 2.12 which means that the output voltage will follow the input voltage with an attenuation factor as in equation 3.1. 24 Chapter 3. On-chip SERDES with resistive termination VOU T = VIN e−αl Rline,total 2Zo = VIN e − (3.1) According to equation 3.1, in this design the voltage swing at the output of the interconnect is approximately 57% of the voltage swing at input of the interconnect. This sever reduction in the received signal’s swing makes the signal reconstruction process at the receiver side very difficult especially with a threelevel signaling scheme, like the one proposed in [8]. Also, using embedded clocking technique, in which both the clock and the data are multiplexed and sent as only one signal, makes the system jitter insensitive and allows for the use of simple detectors without the need for complex power-hungry clock and data recovery circuits. Therefore, a signaling scheme that facilitates its detection and reconstruction at the receiver side, even with the severely reduced swing due to the use of the resistive termination technique, is mandatory. That signaling scheme also must generate a signal that has information about both the data and the clock to make the system jitter insensitive. Finally, that signaling scheme must be easy to generate and detect, and must maintain its DC level to avoid the use of complex power and area hungry circuits. A signaling scheme that can satisfy the previously mentioned requirements is a scheme based on two level Manchester encoding. In the two level Manchester encoding shown in figure 3.5, each bit is represented for a duration of a clock period. If the data was low, then it will be represented in the Manchester signal with a low level followed by a high level each for half the clock period. On the other hand, if the data was high, then it will be represented in the Manchester signal with a high level followed by a low level each for half the clock period. Effectively, The Manchester signal can be generated by simply XNORing both the data and the clock. 25 Chapter 3. On-chip SERDES with resistive termination Figure 3.5: Manchester encoding So, the Manchester signal shown in figure 3.5 is a signal that has information about both the data and the clock, that can be sent from the transmitter to the receiver guaranteeing that the system will be jitter insensitive. It is a signal that changes its value every clock period at maximum, hence it has an almost constant DC level eliminating the need for extra data encoding at the transmitter side. It is a two level signal that can be detected and reshaped at the receiver side easily even while dealing with a severely reduced swing due to the use of the resistive termination technique. 3.3.3 The Full System Figure 3.6 shows the block diagram of the full proposed system. The transmitter consists of an all digital phase locked loop (ADPLL) that provides the required clock for the serialization process, a serializer that converts the 8-bit XGpbs data into an 8XGpbs serial data stream, an encoder that multiplexes both the serial data from the serializer and the clock from the ADPLL to generate the Manchester signal described in section 3.3.2 and its inverse, and finally driving buffers to give the Manchester signal the required power to propagate through the interconnect. 26 Chapter 3. On-chip SERDES with resistive termination Figure 3.6: Proposed full system The receiver consists of reconstruction buffers used to reshape the received Manchester signal and its inverse to regain the rail-to-rail swing, a Manchester decoder that is used to extract the serial data and the clock that will be used in the deserialization process, and finally a deserializer that is used to convert the serial data back to its initial parallel form to be then processed inside the receiving core in a parallel form. The following sections include detailed explanation for each of the used elements supported with pre-layout simulations using the TSMC 65nm CMOS technology. 3.3.4 Transmitter The transmitter’s task is to receiver the parallel data from the processing unit inside the core in a parallel from then converts it into serial form, encode it, and prepare it to be sent over the interconnect to the receiver. To accomplish this task the transmitter uses a serializer, a Manchester encoder, and a cascaded buffer. 27 Chapter 3. On-chip SERDES with resistive termination 3.3.4.1 Serializer The serializer is the first element in the transmitter. Generally, a serializer converts Y parallel lines each operating at XGbps into one serial line operating at (Y ∗ X)Gbps. In its simplest forms a serializer can be designed using only a shift register as shown in figure 3.7 [10]. Figure 3.7: Shift register as a serializer [10] It is composed of multiplexers (MUXs) and D flip flops (DFFs) only. The shiftregister-based serializer has two modes of operation and the MUXs are responsible for the switching between them. Fist, it loads the parallel data on the output of the DFFs, then in the second phase the serializer starts shifting these bits in a serial form until all bits are out, then it starts over. Although it has a very simple design and a small implementation area, the shift-register-based serializers are rarely used nowadays. The main reason for their limited usage is because of two problems. First, the critical bath is one DFF and one MUX which means that the maximum clock frequency that can be used is limited by the summation of their delays. Second, the serializer needs to operate all of its DFFs at a very high speed clock to match the required throughput which makes the design inefficient in terms of power consumption. 28 Chapter 3. On-chip SERDES with resistive termination Although the shit-register-based serializer is simple in theory of operation and design, it is not suitable for systems with high throughput such as the proposed system. A serializer that solves most of the problems in the shift-register-based is the double edge trigger flip flop (DETFF)-based serializer shown in figure 3.8 [11]. Figure 3.8: DETFF-based serializer As shown in figure 3.8 the DETFF-based serializer is composed of three stages. The stages work at frequencies equal to the data rate divided by eight for the first stage, by four for the second stage, and by two for the last stage. The whole 29 Chapter 3. On-chip SERDES with resistive termination serializer is working at low frequencies, and as the used frequency in the stage increases the number of DETFF in that stage decreases. Therefore, the DETFFbased serializer is better than the shift-register-based serializer in terms of speed and power consumption which are of more concern in this design than the area and the design complexity. 3.3.4.2 Manchester Encoder The Manchester encoder is the second block in the transmitter chain and is the most important block in the transmitter. The Manchester encoder’s task is to multiplex both the serializer’s output serial data and the clock used in the serialization process to generate the Manchester signal and its inverse as shown in figure 3.5. Figure 3.9 shows the block diagram of the used Manchester encoder. The latches and MUXs combination is used to implement a circuit that perform the XOR and XNOR function while being able to operate at very high data rates. The flip flops preceding the XOR-XNOR stage is used to synchronize the serial data signal and its inverse. Figure 3.10 shows the wave forms of the serial data, clock, and the Manchester encoder outputs. Figure 3.9: Manchester encoder 30 Chapter 3. On-chip SERDES with resistive termination Figure 3.10: Manchester encoder simulation 3.3.4.3 Driving Buffers The driving buffers stage is the last stage in the transmitter. It is composed from cascaded buffers stages, and was sized properly to give the best performance. The main task of this stage is to isolate the encoder circuit from the interconnect so that the encoder can operate efficiently. Also, it gives the signals, that to be transmitted through the interconnect, the required power. 3.3.5 Receiver The receiver’s task is to receive the Manchester signal and its inverse from the interconnect, reshape it to regain the full swing, decode the Manchester signal to extract the serial data and the clock that will be used in the deserialization process, and finally convert the data to its original parallel form to be sent to the 31 Chapter 3. On-chip SERDES with resistive termination processing unit inside the receiving core. To accomplish this task the receiver uses reconstruction buffers, a Manchester decoder, and a deserializer. 3.3.5.1 Reconstruction Buffers The reconstruction buffers receive the Manchester signal and its inverse severely attenuated and almost dispersion free due to the use of the resistive termination technique. The signals in their original forms are too low in swing to be processed by the decoder. Therefore, the reconstruction buffers reshape those signals to have the rail-to-rail swing to be processed by the Manchester decoder correctly. Figure 3.11 shows the Manchester signal before the reconstruction buffer ,with the reduced swing, and after it regained the full swing thanks to the reconstruction buffers. Figure 3.11: Manchester signal before and after reconstruction 32 Chapter 3. On-chip SERDES with resistive termination 3.3.5.2 Manchester Decoder The Manchester decoder is the second element in the receiver and is one of the most important items in the whole design. The Manchester decoder’s task is to decode the Manchester signal to extract the serial data and the clock that will be used in the deserialization process. The output serial data and clock will be synchronized without the need for any synchronization circuits as they are extracted from the same signal. Many ideas were proposed to decode the Manchester signals, but most of them depend on having a synchronous clock at the receiver, which is not available in the proposed system and requires complex clock and data recovery circuits. So, developing an asynchronous detection technique for Manchester signals was essential. By observing the behavior of the Manchester signal along with the variations in the data stream shown in figure 3.5, five observations can be used to develop an asynchronous clock-free Manchester decoder. These five observations are • At any rising edge in the Manchester signal, if the Manchester representation of the bit preceding that rising edge has a low-level in its second half, then the data stream has changed from high to low as shown in figure 3.12. • At any rising edge in the Manchester signal, if the Manchester representation of the bit preceding that rising edge has a high-level in its second half, then the data stream has no changes as shown in figure 3.13. • At any falling edge in the Manchester signal, if the Manchester representation of the bit preceding that falling edge has a high-level in its second half, then the data stream has changed from low to high as shown in figure 3.14. • At any falling edge in the Manchester signal, if the Manchester representation of the bit preceding that falling edge has a low-level in its second half, then the data stream has no changes as shown in figure 3.15. 33 Chapter 3. On-chip SERDES with resistive termination • The output clock can be obtained by ‘Xoring’ the encoded data with the decoded data as shown in figure 3.5. Figure 3.12: Manchester signal decoding first observation Figure 3.13: Manchester signal decoding second observation 34 Chapter 3. On-chip SERDES with resistive termination Figure 3.14: Manchester signal decoding third observation Figure 3.15: Manchester signal decoding fourth observation 35 Chapter 3. On-chip SERDES with resistive termination A plausible implementation based on these observations was proposed in [12]. As shown in Figure 3.16, a delay line is used to provide a version of the Manchester signal delayed by (50-100%) of the clock cycle. The delayed version is then fed to a falling edge sensitive flip-flop (FF1), and a rising edge sensitive flip-flop (FF2) the clock of which is the original Manchester signal. The outputs of FF1 and FF2 are then fed to the SR latch (FF3) to generate the decoded data and set the output of FF2 or clear the output of FF1 depending on the condition under which the circuit is operating and based on the observations stated before. The decoded data is then ‘XORed’ with a version of the Manchester signal to generate the clock. A delay element may be used to compensate for the delay caused by the flip-flops. Figure 3.16: Manchester decoder Although the system can work theoretically with a delay that varies between 50% and 100% of the clock cycle, the best performance is obtained if the delay was between 50% and 75% of the clock cycle, in which case FF1 and FF2 get a sufficient setup time. The range from 50% to 75% of the clock cycle could be an acceptable range for delay variations across process, temperature, and voltage variations. However, a programmable delay-line that calibrates its delay automatically at startup was designed and added to guarantee that the system will work 36 Chapter 3. On-chip SERDES with resistive termination Figure 3.17: Manchester decoder simulation efficiently under any circumstances and across a wider range of operating frequencies, as described in the section 3.3.5.4. Figure 3.17 includes the wave forms of, the Manchester signal, the delayed version of the Manchester signal, the SR-latch input signals, and the the output serial data. 3.3.5.3 Deserializer The deserializer is the last block in the receiver. Generally, a deserializer converts a single line carrying serial data stream at (Y ∗X)Gbps data rate into a set of Y parallel lines each representing one bit and operating at XGbps. In its simplest forms a deserializer can be implemented using only a shift register. Also, as with the serializer the shift-register-based is simple but is not suitable for designs with high throughput. 37 Chapter 3. On-chip SERDES with resistive termination A better implementation for the deserializer is shown in figure 3.18 [5]. The deserializer makes use of both edges of the deserializing clock allowing for the use of clock with lower frequencies to relax the design and reduce the power consumption as well. Figure 3.18: The deserializer used in the proposed system 3.3.5.4 Programmable Delay Line At a delay of 50% of the used clock period FF1 and FF2 get the maximum possible setup time, and hence the best performance. So the delay line is to be initially designed to give a 50% delay of the clock period of the targeted data rate. But there are some cases in which the targeted frequency needs to be altered from 38 Chapter 3. On-chip SERDES with resistive termination the initial design frequency, and in some cases the delay of the delay line also may vary due to PVT variations. Therefore, to ensure the system’s reliability the delay line should be self-calibrating to be able to give the right delay under all circumstances. Figure 3.19: Programmable delay line block diagram So a programmable delay line, the block diagram of which is shown in figure 3.19, is to be implemented inside the Manchester decoder. At start up the transmitter sends a preamble of successive logic 1’s to calibrate the delay line. Therefore, the Manchester encoded data will look like the clock used in the encoding process and the delay should be adjusted until the delayed version of the inverted Manchester signal looks exactly like the original Manchester data, i.e. exactly 50% delay of the targeted clock cycle. To check that these signals are identical or not, which means the delay line is giving the optimum delay, both signals are to be ANDed. Then, the DC level of the output signal is to be measured as an indication for the duty cycle of that signal. Then according to the value of the DC level, the programmability circuit changes the control bits until a maximum DC level, i.e. optimum delay, is achieved. Figure 3.20 shows a detailed schematic of the programmable delay line. First, a chain of successive buffers is used to provide delayed versions of the Manchester signal and its inverse. All the delayed versions are then fed into two MUXs to 39 Chapter 3. On-chip SERDES with resistive termination perform the selection step based on the output from the level check block. The number of the used stages depends on the difference between the highest and lowest targeted operating frequencies, and the required resolution. The fastest clock the delay line can support is defined by the delay of the first buffer plus the delay of the MUX. The slowest clock the delay line can support is defined by the summation of the delays of the whole chain and the selection MUX’s delay. Figure 3.20: Programmable delay line schematic In figure 3.20 the Manchester signal and the delayed version of the inverted Manchester signal are fed into an AND gate. The output of that AND gate is passed through a chain of transmission gates sized to act as a low pass filter (effective RC ladder) to extract its DC level. Then, a skewed inverter with a threshold voltage that is slightly lowered to detect VDD /2 as a high level, is used to detect the DC level of the signal after the filter and to drive the control block. The level variation check is a verilog-based block that can be synthesized and and implemented inside the system, and the verilog code of this block is stated in appendix B.1. The task of this block is to generate the selection word that controls the selection MUXs to get the optimum delay based on the inverter’s output and the inverter’s previous output. 40 Chapter 3. On-chip SERDES with resistive termination As explained in figure 3.21, the delay line starts by selecting the minimum delay and the level variation check block detects the input from the inverter and based on that a decision is made. If the output of the inverter is logic 0, that means that the first buffer is giving the optimum delay. Other wise, the second delayed version is to be selected and the same check takes place after the DC level settling time, and so on. The loop keeps working in that sequence until the level variation check block detects a logic 0 at its input which is an indication for the optimum delay. The programmable delay line detects the optimum delay and fixes it for the rest of the operation. Figure 3.21: Programmable delay line operation If the level variation check block never detects a zero level at its input for all delay versions, that means that the targeted frequency is out of the acceptable range. The maximum expected time to achieve the optimum delay depends on the number of stages N , the DC level settling time after the stage selection TS , and the time from reset removal until the signal arrive from the transmitter to the receiverTS−A . It is also the time that the transmitter should keep sending a stream of logic 10 s in, and it follows equation 3.2. The settling time, and the time from reset until signal’s arrival can be predefined from the design stage. 41 Chapter 3. On-chip SERDES with resistive termination T = N ∗ TS + TR−A 3.3.6 (3.2) Simulation Results For the sake of comparing the proposed system with recently published systems, it was simulated using the TSMC 65nm CMOS technology. A 3mm on-chip interconnect was used with the characteristics as stated in table 3.2.At the TT corner, 1V supply and 27 ◦ C the system was simulated at 19Gbps and consumed a total power of 18mW. According to equation 3.1 the predicted swing of the Manchester signal at the receiver side of the interconnect was around 57% of the signal’s swing at the transmitter side of the interconnect. And as shown in Figure 3.22 the transmitter side signal has a swing of 740mV, and the receiver side signal has a swing of 450mV, which is around 60% of the transmitted signal. One possible explanation to the increase in the receiver side signal’s swing is the reflections from the receiver side because the interconnect is not matched. Figure 3.23 includes the wave forms of the serial data at the transmitter before the encoder, the serial data at the receiver after the decoder, the decoder output clock, and the deserialization clock. The deserialization clock can be obtained by dividing the extracted clock by two. As shown in the figure, the system succeeded in encoding the serial data , and in retrieving it back at the receiver side correctly. Because the output serial data and the deserialization clock were extracted from the same signal, both are perfectly synchronized for deserialization with out the need for any synchronization circuits. The proposed system takes 470.5ps to encode, send and recover the serial data. The eye diagram of the received signal at the interconnect’s terminal is shown in figure 3.24. The eye has a wide opening along the time axis because of the use of the resistive termination technique along with the Manchester encoding. 42 Chapter 3. On-chip SERDES with resistive termination Figure 3.22: Wave forms of the Manchester signal at both ends of the interconnect As the resistive termination solves the inter symbol interference problem and the Manchester encoding keeps its DC level almost constant. On the other hand, the eye diagram has a narrow opening along the voltage axis because of the reduced swing due to the use of the resistive terminatin technique. Finally, figures 3.25, 3.26 and 3.27 show the eye diagrams of the extracted data, extracted clock, and the deserialization clock, respectively. The eye widths of the received signal, the extracted data, the extracted clock, and the deserialization clock are 85, 84.5, 67.8 and 84.7 (% UI), respectively. 43 Chapter 3. On-chip SERDES with resistive termination Figure 3.23: Proposed system’s important signals 44 Chapter 3. On-chip SERDES with resistive termination Figure 3.24: The eye diagram of the received signal Figure 3.25: The eye diagram of the extracted data 45 Chapter 3. On-chip SERDES with resistive termination Figure 3.26: The eye diagram of the extracted clock Figure 3.27: The eye diagram of the deserialization clock 46 Chapter 3. On-chip SERDES with resistive termination Tech. VDD Data rate Power Energy/bit Line nm Volt Gbps mW pJ/bit mm This work 65 1 19 18 0.95 3 Safwat [6] 65 1 12 15.5 1.29 3 Hussein[8] 65 1 16 18.1 1.3 3 This work 65 1 19 22.4 1.18 10 Rhew [9] 65 1.3 20 27.2 1.36 10 Table 3.3: Design Comparison Table 3.3 summarizes the performance metrics of the proposed system, and compares it to recently published systems. To make a fair comparison between these different systems, the proposed system’s power at 1V supply is reported and “Energy/bit” values are included. Also, the system performance is reported at 3mm and 10mm transmission lines. The proposed system achieves a relatively high data rates, while maintaining the lowest energy per bit. The system was simulated across numerous PVT corners and the data rate ranged within ±25% of the data rate at the typical corner. 3.3.7 Layout To test the functionality of the system on real silicon, the proposed system is to be fabricated using the UMC 0.13µm CMOS technology. The whole design is a full custom layout that includes 27 small cells, 13 medium cells constructed from the small cells, and two large cells constructed from the medium cells. This part of the section includes the used floor plans, and snapshots of the system parts’ layout. 47 Chapter 3. On-chip SERDES with resistive termination 3.3.7.1 Layout of The Proposed Transmitter Figures from 3.28 to 3.30 include the layouts of the big cells used in the proposed transmitter. Figure 3.32 shows the floor plan used in the layout of the transmitter. Finally, figure 3.33 shows the layout of the proposed transmitter. Figure 3.28: The proposed serializer’s layout Figure 3.29: The proposed encoder’s layout 48 Chapter 3. On-chip SERDES with resistive termination Figure 3.30: Clock generator’s layout Figure 3.31: Driving buffer’s layout 49 Chapter 3. On-chip SERDES with resistive termination Figure 3.32: Proposed transmitter’s floor plan 50 Chapter 3. On-chip SERDES with resistive termination Figure 3.33: Proposed transmitter’s layout 51 Chapter 3. On-chip SERDES with resistive termination 3.3.7.2 Layout of The Proposed Receiver Figures from 3.34 to 3.39 include the layouts of the big cells used in the proposed receiver. Figure 3.40 shows the floor plan used in the layout of the receiver. Finally, figure 3.41 shows the layout of the proposed receiver. Figure 3.34: The proposed decoder’s layout Figure 3.35: The reconstruction buffer’s layout 52 Chapter 3. On-chip SERDES with resistive termination Figure 3.36: Layout of FFs Equivalent delay line Figure 3.37: Layout of the delay line 53 Chapter 3. On-chip SERDES with resistive termination Figure 3.38: Clock generator’s layout Figure 3.39: Deserializer’s layout 54 Chapter 3. On-chip SERDES with resistive termination Figure 3.40: Proposed receiver’s floor plan 55 Chapter 3. On-chip SERDES with resistive termination Figure 3.41: Proposed receiver’s layout 56 Chapter 4 Design for Testing 4.1 Introduction One of the most important tasks in fabricating a design, is preparing it for to be tested. Therefore, a test setup that can interface with both the proposed system and the off-chip test bench to test the system effectively is essential. In this chapter the proposed testing setup for the proposed design is discussed in detail. 4.2 Testing Setup Due to the limited number of pins and the maximum frequency a pin can support, the main task of the testing setup is to take the input data and control bits from only one pin at low frequency in the setup mode, and to output the final data and the frequency count byte from only one pin also and that occurs in the setup mode. In the testing mode and according to the control bits, the testing setup drives the proposed system and the DCO to test the proposed system’s functionality. The whole testing setup was built using verilog codes except for the DCO that is a full custom block. All the used Verilog codes are in appendix B.2. 57 Chapter 4. Design for Testing Figure 4.1 shows the block diagram of the proposed test setup. The test setup interfaces with the out world with three basic input pins, one output pin and a reset pin, those pins are • (IN ) : which is an input pin used to input the data and the control word • (D/C) : which is an input pin used to specify the insertion mode whether data or control • (L clk): the low speed clock used to drive the test setup • (OU T ) : which is an output pin used to output the data and the frequency word also, it interfaces the proposed system with 8-bit data in, input clock, 8-bit output data, and output clock. Figure 4.1: Testing setup Both the in-bank and the out-bank work with the scan chain theory, and both of them consist of 64 8-bit registers. In the setup mode they transfer the data 58 Chapter 4. Design for Testing between their registers in a serial manner bit-by-bit, and in the testing mode they transfer the data in a parallel manner byte-by-byte. The control register is used to specify whether the system is in setup mode or in testing mode according to the value of its most significant bit (S/T). It also specifies the frequency at which the DCO will be running. To know the exact frequency at which the DCO is running, a frequency counter is used to measure that frequency and save it in the frequency register. Three MUXs and one DEMUX are used to connect the blocks and stream the signals as shown in figure 4.1 and as explained in section 4.3. The DCO bits from the control register are converted from 4-bits in a binary form into 15-bits in thermometer code form using a binary to thermometer converter implemented using verilog as in appendix B.2. The output of the converter then control a free running digital controlled oscillator, shown in figure 4.2, to generate the high speed clock (H clk) that will be used in testing the proposed system, and the clock that the proposed system needs in the encoding process which is eight times faster. Figure 4.3 shows the DCO’s output frequency plotted versus the input bits. The output of the DCO is used directly to feed the proposed system, and after dividing the frequency by eight it is used to feed the testing setup. 59 Chapter 4. Design for Testing Figure 4.2: Digital controlled oscillator Figure 4.3: Digital controlled oscillator characteristic curve 60 Chapter 4. Design for Testing 4.3 Testing Protocol To use the proposed testing setup efficiently as well as correctly, a certain protocol should be followed. The testing can be done using an off-chip micro controller or an FPGA programmed with the testing protocol. This protocol is summarized in the following steps while referring to figure 4.1. The input side interface protocol 1. Reset the D/C pin. This means that the following input bits will be loaded to the control register. 2. Input the 8-bit control word using the IN pin. In this step the control word should be [000-DCO bits-1]. This means that the S/T bit is set to one, which means that the system is in the setup mode. After filling the control register, the D/C should be set to one. 3. When D/C flips to one, start entering the (64*8) bits through the IN pin to fill the In-Bank. After filling the bank change the D/C to zero to go back to the control insertion mode. 4. When D/C flips to zero, start entering the new control bits as in step 2. In this case change the S/T to be one to enable the testing mode. 5. Change D/C to be one and ground the IN pin. The testing setup will be loading the proposed system with 64 bytes, and in the meanwhile it will be reading the outputs of the system and saving them in the Out-Bank. After 64* (period of the H clk) reset the D/C and then start over. The output side interface protocol The read out process starts after the D/C pin go through zero, one, zero, then one as explained in the input side interface protocol. 61 Chapter 4. Design for Testing 1. When D/C changes to zero, start reading the frequency register through the OUT pin, while the input script is changing the control register. 2. While the the input script is in step 3 of the second input phase start scanning out the outputs of the first phase that were stored in the Out-Bank. The previous steps should be then repeated for as many phases as wanted. Offchip comparator and bit error rate calculater are to be implemented in the used controller to check the functionality of the proposed system. The testing setup was simulated using Modelsim to verify its functionality. Then it was synthesized using Faraday’s UMC 0.13µm standard cells to be prepared for the automatic place and route (APR) using Cadence’s APR tool SoC Encounter. 4.4 Testing Setup Layout Figures 4.4 and 4.5 show the floor plan of the testing setup blocks and the layout after the APR, respectively. Figure 4.6 shows the layout of the the binary to thermometer code decoder. Finally, figure 4.7 shows the layout of the DCO providing the clocks for both the proposed system and the testing setup. 62 Chapter 4. Design for Testing Figure 4.4: Testing setup floor plan 63 Chapter 4. Design for Testing Figure 4.5: Testing setup layout 64 Chapter 4. Design for Testing Figure 4.6: Thermometer decoder layout Figure 4.7: DCO layout 65 Chapter 4. Design for Testing 4.5 The Final Layout Figure 4.8 shows the final layout of the proposed system after integrating it with the testing setup, and the interconnect. Figure 4.8: The Final Layout 66 Chapter 5 Summary and Future Work 5.1 Summary With the great advancement in the VLSI fabrication process, future technologies are expected to make it possible for chips to have hundreds or maybe thousands of processing cores. The on-chip processing cores are expected to be so powerful, and the communication between the on-chip cores are expected to be the main bottleneck for the overall performance. Also, due to the limitations and requirements appearing with new technologies, the use of the conventional parallel communication is not efficient any more. One of the most promising solutions is the use of serial techniques in the core-to-core communication. This thesis focused on the understanding of the newly arising issues and performance requirements of the on-chip core-to-core serial communication. It also focused on understanding the on-chip interconnects, and how they are different from the off-chip interconnects. Understanding the on-chip interconnect’s characteristics, problems, and the possible solutions was a key point from which the proposed design started. One of the main problems that was explored in this thesis is the dispersion due to the use of on-chip interconnects. Many solutions for that 67 Chapter 5. Summary and Future Work problem were discussed, and the resistive termination technique was chosen to be discovered and to be used in the proposed design. This thesis proposed a new design that aims to solve the dispersion problem to reduce the ISI by using the resistive termination technique. To overcome the problem of the reduced swing associated with the use of the resistive termination technique, the system used two-level Manchester encoding. In Manchester encoding the serial data, and the clock are multiplexed on only one signal to be sent over the interconnect which made the system jitter insensitive. The use of Manchester encoding allowed for the recovery of clock, and data at the receiver side without the need for complex power-hungry clock and data recovery circuits, and without the need for any kind of synchronization circuitry. The extraction of the data and the clock at the receiver side was accomplished using an asynchronous decoder, the idea of which was based on some observations made in the system signals. A self-calibrating digital-delay line was also implemented inside the decoder to enhance the system’s reliability, and to make the system able to operate efficiently across wider frequency ranges, and across variations. The whole system was implemented using full custom digital circuits, instead of analog circuits to make the design easier, and to facilitate the design porting from one technology to another. The proposed system was simulated, its performance was compared against recently published designs, and it achieved relatively high data rates while maintaining the lowest energy per bit. The system was prepared for fabrication using the UMC 0.13µm CMOS technology, to test its performance on silicon. To guarantee the system’s testability, a testing setup was proposed in this thesis, and was implemented with the proposed system on the same chip. The testing setup facilitates the testing of the proposed system, and overcomes the fabrication problems like the limited number of pins and the maximum frequency a pin can tolerate. 68 Chapter 5. Summary and Future Work 5.2 Future Work This thesis proposed a new design that enhances the performance of on-chip high speed serial links. However, the following should be considered in the future to make the system better, and to get closer to the optimal design. • Test the proposed system after the fabrication to verify its functionality on silicon. • Investigate the possibility of a new circuit implementation for the Manchester asynchronous decoding idea that is more immune to the changes in the frequency ranges and PVT variations. • Develop the proposed programmable delay line to provide a continuous self calibration, and not only at start up, to enhance the system’s reliability even more. • Integrate the whole system with core-to-core communication protocol, and upgrade the encoding technique to include encoding for error detection and correction. 69 Bibliography [1] M. Horowitz; F. Labonte; O. Shacham; K. Olukotun; L. Hammond; and C. Batten, “Original data collected and plotted by”, Tech. Rep. [2] “International technology roadmap for semiconductors (itrs), 2005”. [3] T. Beukema; M. Sorna; K. Selander; S. Zier; B. L. Ji; P. Murfet; J. Mason; W. Rhee; H. Ainspan; B. Parker; and M. Beakes, “A 6.4-gb/s cmos serdes core with feedforward and decision-feedback equalization”, IEEE Journal of Solid-State Circuits, vol. 40, no. 12, pp. 2633–2645, December 2005. [4] R. T. Chang; N. Talwalkar; C. P. Yue; and S. S. Wong, “Near speed-of-light signaling over on-chip electrical interconnects”, IEEE Journal of Solid-State Circuits, vol. 38, no. 12, pp. 834–838, May 2003. [5] Ezzeldin Omar Hussein Hamed, “On-chip interconnect design for high speed serdes transceivers”, Master’s thesis, Nile University, 2012. [6] Sally Safwat; Ezz El-Din Hussein; Maged Ghoneima; and Yehea Ismail, “A 12gbps all digital low power serdes transceiver for on-chip networking”, Circuits and Systems (ISCAS), IEEE International Symposium on, pp. 1419– 1422, May 2011. [7] Michael P. Flynn; and Joshua Jaeyoung Kang, “Global signaling over lossy transmission lines”, Computer-Aided Design,IEEE International Conference on, pp. 985–992, November 2005. 70 Bibliography [8] Ezz El-Din Hussein; Sally Safwat; Maged Ghoneima; and Yehea Ismail, “A 16gbps low power self-timed serdes transceiver for multi-core communication”, Circuits and Systems (ISCAS), IEEE International Symposium on, pp. 1660– 1663, May 2012. [9] Hyo Gyuem Rhew; JunYoung Park; and Michael P. Flynn, “A 22gb/s, 10mm on-chip serial link over lossy transmission line with resistive termination”, ESSCIRC, pp. 233–236, September 2012. [10] Se-Joong Lee; Kwanho Kim; Hyejung Kim; Namjun Cho; and Hoi-Jun Yoo, “Adaptive network-on-chip with wave-front train serialization scheme”, Digest of Technical Papers Symposim on VLSI Circuits, pp. 104–107, June 2005. [11] R. A. Philpott; J. S. Humble; R. A. Kertis; K. E. Fritz; B. K. Gilbert; and E. S. Daniel, “A 20gb/s serdes transmitter with adjustable source impedance and 4-tap feed-forward equalization in 65nm bulk cmos”, IEEE Custom Integrated Circuits Conference, pp. 623–626, 2008. [12] Hoke S. Johnson, “Method and circuit for decoding a manchester code signal”, 5,023,891, June 1991. 71 Appendix A Used MATLAB Codes A.1 Interconnect frequency response clear all ; clc ; R =18.17; L =1 e -9; C =868.5 e -15; x =0 :0.00000 1:1; F = x *1000 e9 ; omega =2* pi * F ; gamma = (1 i * omega * sqrt ( L * C )).*( sqrt (1+ R ./(1 i * omega * L ))); a = real ( gamma ); b = imag ( gamma ); v = omega ./ b ; semilogx (F , a ) grid on ; xlabel ( ’ Frequency ( Hz ) ’) ylabel ( ’ Attenuation ( mm ^ -^1) ’) figure semilogx (F , v ) grid on xlabel ( ’ Frequency ( Hz ) ’) ylabel ( ’ Probagation Speed ( m / Sec ) ’) 72 Appendix B Used Verilog Codes B.1 Programmable Delay Line Control Code module level_check ( input IN , input RX_CLK , // any clock existing at the RX . Synch . is not essential input RESET , output reg [3:0] OUT ); reg flag ; reg [3:0] counter ; always@ ( posedge RX_CLK ) if ( RESET ) begin flag =0; OUT =0; counter =0; end else begin if ( counter ==1001) // this value depends on the clock speed and the settling t begin if ( flag ==1) begin counter =0; end else 73 Appendix B. Used Verilog Codes begin if ( IN ==1) begin OUT = OUT +1; counter =0; end else begin flag =1; counter =0; end end end else begin counter = counter +1; end end endmodule B.2 Testing Setup Code B.2.1 Demultiplexer module DEMUX_1_2 ( input IN , input SEL , output A , output B ); assign A = SEL & IN ; assign B = ! SEL & IN ; endmodule B.2.2 Multiplexer module MUX_2_1 ( input A , input B , 74 Appendix B. Used Verilog Codes input SEL , output Q ); assign Q = ( SEL ) ? A : B ; endmodule B.2.3 Control Register module CTRL_REG ( input CTRL_IN , input DATA_CTRL , input LOW_SPEED_CLK , input RESET , output reg [7:0] CTRL_OUT ); always @ ( posedge LOW_SPEED_CLK ) begin if ( RESET ) begin CTRL_OUT <=5 ’ b0 ; end else begin if ( DATA_CTRL ) begin CTRL_OUT <= CTRL_OUT ; end else begin CTRL_OUT [0] <= CTRL_IN ; CTRL_OUT [1] <= CTRL_OUT [0]; CTRL_OUT [2] <= CTRL_OUT [1]; CTRL_OUT [3] <= CTRL_OUT [2]; CTRL_OUT [4] <= CTRL_OUT [3]; CTRL_OUT [5] <= CTRL_OUT [4]; CTRL_OUT [6] <= CTRL_OUT [5]; CTRL_OUT [7] <= CTRL_OUT [6]; end end end endmodule 75 Appendix B. Used Verilog Codes B.2.4 Frequency Register module FREQ_REG ( input [7:0] FREQ_VALUE , input LOW_SPEED_CLK , input SETUP_TEST , input RESET , input DATA_CTRL , output OUT ); reg [7:0] FREQ ; assign OUT = FREQ [7]; always @ ( posedge LOW_SPEED_CLK ) begin if ( RESET ) begin FREQ [7:0] <=8 ’ b0 ; end else begin if (! DATA_CTRL )// SCAN OUT FREQ VALUE if control select mode begin FREQ [1] <= FREQ [0]; FREQ [2] <= FREQ [1]; FREQ [3] <= FREQ [2]; FREQ [4] <= FREQ [3]; FREQ [5] <= FREQ [4]; FREQ [6] <= FREQ [5]; FREQ [7] <= FREQ [6]; end else if ( DATA_CTRL && ! SETUP_TEST )// in system testing mode begin FREQ [7:0] <= FREQ_VALUE ; end end end endmodule B.2.5 Frequency Counter module FREQ_COUNTER ( input SETUP_TEST , input RESET , 76 Appendix B. Used Verilog Codes input HIGH_SPEED_CLK , input LOW_SPEED_CLK , output reg [7:0] FREQ_VALUE ); reg COUNTER _ENABLE ; reg CHECK ; reg MODE1 ; reg MODE2 ; always @ ( negedge LOW_SPEED_CLK )// allows ctrl change only at falling edge of ref . clk begin MODE1 <= SETUP_TEST ; MODE2 <= MODE1 ; end always @ ( negedge HI GH_SPEE D_CLK ) begin if ( RESET ) begin FREQ_VALUE <=8 ’ b0 ; CHECK <=1 ’ b0 ; COUNTER_ENABLE <=1 ’ b1 ; end else // test mode if ( LOW_SPEED_CLK && ! MODE2 && COUN TER_ENAB LE ) begin FREQ_VALUE <= FREQ_VALUE +1; CHECK <=1 ’ b1 ; end if ( CHECK && ! LOW_SPEED_CLK ) begin COUNTER_ENABLE <=1 ’ b0 ; end end endmodule B.2.6 8-bit Register module REG_8BIT_SCAN ( input [7:0] DATA_IN , input SCAN_IN , input DATA_CTRL , 77 Appendix B. Used Verilog Codes input SETUP_TEST , input CLK , input RESET , output reg [7:0] DATA_OUT ); always @ ( posedge CLK ) begin if ( RESET ) begin DATA_OUT <=8 ’ b0 ; end else begin if ( DATA_CTRL ) begin if ( SETUP_TEST ) begin // filling the reg bank ( Serial ) DATA_OUT [0] <= SCAN_IN ; DATA_OUT [1] <= DATA_OUT [0]; DATA_OUT [2] <= DATA_OUT [1]; DATA_OUT [3] <= DATA_OUT [2]; DATA_OUT [4] <= DATA_OUT [3]; DATA_OUT [5] <= DATA_OUT [4]; DATA_OUT [6] <= DATA_OUT [5]; DATA_OUT [7] <= DATA_OUT [6]; end else begin // Testing the system ( parallel ) DATA_OUT <= DATA_IN ; end end end end endmodule B.2.7 2*8-bit Register module REG2_ 8BIT_SCA N ( input [7:0] DATA_IN , input SCAN_IN , input DATA_CTRL , input SETUP_TEST , 78 Appendix B. Used Verilog Codes input CLK , input RESET , output [7:0] DATA_OUT ); wire [7:0] W1 ; REG_8BIT_SCAN e1 ( DATA_IN , SCAN_IN , DATA_CTRL , SETUP_TEST , CLK , RESET , W1 ); REG_8BIT_SCAN e2 ( W1 , W1 [7] , DATA_CTRL , SETUP_TEST , CLK , RESET , DATA_OUT ); endmodule B.2.8 4*8-bit Register module REG4_ 8BIT_SCA N ( input [7:0] DATA_IN , input SCAN_IN , input DATA_CTRL , input SETUP_TEST , input CLK , input RESET , output [7:0] DATA_OUT ); wire [7:0] W1 ; REG2 _8BIT_SC AN e1 ( DATA_IN , SCAN_IN , DATA_CTRL , SETUP_TEST , CLK , RESET , W1 ); REG2 _8BIT_SC AN e2 ( W1 , W1 [7] , DATA_CTRL , SETUP_TEST , CLK , RESET , DATA_OUT ); endmodule B.2.9 8*8-bit Register module REG8_ 8BIT_SCA N ( input [7:0] DATA_IN , input SCAN_IN , input DATA_CTRL , input SETUP_TEST , input CLK , input RESET , output [7:0] DATA_OUT ); 79 Appendix B. Used Verilog Codes wire [7:0] W1 ; REG4 _8BIT_SC AN e1 ( DATA_IN , SCAN_IN , DATA_CTRL , SETUP_TEST , CLK , RESET , W1 ); REG4 _8BIT_SC AN e2 ( W1 , W1 [7] , DATA_CTRL , SETUP_TEST , CLK , RESET , DATA_OUT ); endmodule B.2.10 16*8-bit Register module R EG 1 6_ 8B IT _ SC AN ( input [7:0] DATA_IN , input SCAN_IN , input DATA_CTRL , input SETUP_TEST , input CLK , input RESET , output [7:0] DATA_OUT ); wire [7:0] W1 ; REG8 _8BIT_SC AN e1 ( DATA_IN , SCAN_IN , DATA_CTRL , SETUP_TEST , CLK , RESET , W1 ); REG8 _8BIT_SC AN e2 ( W1 , W1 [7] , DATA_CTRL , SETUP_TEST , CLK , RESET , DATA_OUT ); endmodule B.2.11 32*8-bit Register module R EG 3 2_ 8B IT _ SC AN ( input [7:0] DATA_IN , input SCAN_IN , input DATA_CTRL , input SETUP_TEST , input CLK , input RESET , output [7:0] DATA_OUT ); wire [7:0] W1 ; R EG 16 _8 B IT _S CA N e1 ( DATA_IN , SCAN_IN , DATA_CTRL , SETUP_TEST , CLK , RESET , W1 ); R EG 16 _8 B IT _S CA N e2 ( W1 , W1 [7] , DATA_CTRL , SETUP_TEST , CLK , RESET , DATA_OUT ); 80 Appendix B. Used Verilog Codes endmodule B.2.12 In-Bank module IN_BANK ( input SCAN_IN , input DATA_CTRL , input SETUP_TEST , input CLK , input RESET , output [7:0] DATA_OUT ); wire [7:0] W1 ; R EG 32 _8 B IT _S CA N e1 (8 ’ b0 , SCAN_IN , DATA_CTRL , SETUP_TEST , CLK , RESET , W1 ); R EG 32 _8 B IT _S CA N e2 ( W1 , W1 [7] , DATA_CTRL , SETUP_TEST , CLK , RESET , DATA_OUT ); endmodule B.2.13 Out-Bank module OUT_BANK ( input [7:0] DATA_IN , input DATA_CTRL , input SETUP_TEST , input CLK , input RESET , output SCAN_OUT ); wire [7:0] W1 ; wire [7:0] DATA_OUT ; R EG 32 _8 B IT _S CA N e1 ( DATA_IN ,1 ’ b0 , DATA_CTRL , SETUP_TEST , CLK , RESET , W1 ); R EG 32 _8 B IT _S CA N e2 ( W1 , W1 [7] , DATA_CTRL , SETUP_TEST , CLK , RESET , DATA_OUT ); assign SCAN_OUT = DATA_OUT [7]; endmodule 81 Appendix B. Used Verilog Codes B.2.14 SERDES TEST SETUP module S E R D E S _ T E S T I N G _ S E T U P ( input DATA_CTRL , input IN , input LOW_SPEED_CLK , input HIGH_SPEED_CLK , input [7:0] DATA_FROM_SYS , input CLK_FROM_SYS , input RESET , output [7:0] DATA_TO_SYS , output [6:0] DCO_BITS , output OUT ); wire W1 ; wire W2 ; wire W3 ; wire wire W4 ; W5 ; wire [7:0] W6 ; wire [7:0] W7 ; wire W8 ; DEMUX_1_2 d1 ( IN , DATA_CTRL , W2 , W1 ); CTRL_REG ( W1 , DATA_CTRL , LOW_SPEED_CLK , RESET , W7 ); r1 assign DCO_BITS = W7 [6:0]; MUX_2_1 m1 ( LOW_SPEED_CLK , HIGH_SPEED_CLK , W7 [7] , W3 ); MUX_2_1 m2 ( LOW_SPEED_CLK , CLK_FROM_SYS , W7 [7] , W8 ); MUX_2_1 m3 ( W4 , W5 , DATA_CTRL , OUT ); IN_BANK b1 ( W2 , DATA_CTRL , W7 [7] , W3 , RESET , DATA_TO_SYS ); OUT_BANK b2 ( DATA_FROM_SYS , DATA_CTRL , W7 [7] , W8 , RESET , W4 ); FREQ_COUNTER c1 ( W7 [7] , RESET , HIGH_SPEED_CLK , LOW_SPEED_CLK , W6 ); FREQ_REG R2 ( W6 , LOW_SPEED_CLK , W7 [7] , RESET , DATA_CTRL , W5 ); endmodule 82 Appendix B. Used Verilog Codes B.2.15 Thermometer Decoder module Bin_to_thermo ( input [3:0] BIN , output reg [14:0] THERMO , output [14:0] THERMOBAR ); assign THERMOBAR = ~ THERMO ; always @ ( BIN ) begin case ( BIN ) 4 ’ b0000 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ; 4 ’ b0001 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ; 4 ’ b0010 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 ; 4 ’ b0011 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 ; 4 ’ b0100 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 ; 4 ’ b0101 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 ; 4 ’ b0110 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 ; 4 ’ b0111 : THERMO = 15 ’ b 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 ; 4 ’ b1000 : THERMO = 15 ’ b 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 ; 4 ’ b1001 : THERMO = 15 ’ b 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 ; 4 ’ b1010 : THERMO = 15 ’ b 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 ; 4 ’ b1011 : THERMO = 15 ’ b 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 ; 4 ’ b1100 : THERMO = 15 ’ b 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 ; 4 ’ b1101 : THERMO = 15 ’ b 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 ; 4 ’ b1110 : THERMO = 15 ’ b 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ; 4 ’ b1111 : THERMO = 15 ’ b 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 ; endcase end endmodule 83