Download transparencies - Indico

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

CMOS wikipedia , lookup

Flip-flop (electronics) wikipedia , lookup

Test probe wikipedia , lookup

Ohm's law wikipedia , lookup

Audio crossover wikipedia , lookup

Integrating ADC wikipedia , lookup

Oscilloscope history wikipedia , lookup

Standing wave ratio wikipedia , lookup

Amplifier wikipedia , lookup

Consumer Electronics Show wikipedia , lookup

Transistor–transistor logic wikipedia , lookup

Analog-to-digital converter wikipedia , lookup

Switched-mode power supply wikipedia , lookup

Bode plot wikipedia , lookup

Schmitt trigger wikipedia , lookup

Radio transmitter design wikipedia , lookup

Regenerative circuit wikipedia , lookup

Mathematics of radio engineering wikipedia , lookup

Current mirror wikipedia , lookup

Valve audio amplifier technical specification wikipedia , lookup

Resistive opto-isolator wikipedia , lookup

Negative-feedback amplifier wikipedia , lookup

Wilson current mirror wikipedia , lookup

Power electronics wikipedia , lookup

Phase-locked loop wikipedia , lookup

Electronics technician (United States Navy) wikipedia , lookup

Wien bridge oscillator wikipedia , lookup

Operational amplifier wikipedia , lookup

Zobel network wikipedia , lookup

Index of electronics articles wikipedia , lookup

Molecular scale electronics wikipedia , lookup

Electronic engineering wikipedia , lookup

Valve RF amplifier wikipedia , lookup

Printed electronics wikipedia , lookup

Opto-isolator wikipedia , lookup

Rectiverter wikipedia , lookup

Transcript
Introduction to Electronics
for High Energy Physics
CERN Summer school 2003
C. de LA TAILLE
LAL Orsay
9-11 july 2003
C. de La Taille
[email protected]
Electronics CERN Summer School 2003
1
Outline
 Course 1 : The art of electronics : is there something
beyond Ohm’s law ?
 Course 2 : Learning to decipher a schematic
 Course 3 : Electronics in high energy physics
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
2
Introduction
 Speak “electronician” in just 3 lessons…
“Did you cascode your charge preamp to increase your open loop gain ?”
 “Did you find an FPGA with LVDS I/Os for your digital filter ?”
 A lot of vocabulary (and abreviations…) to get used to, but :

 Little prerequisite knowledge required :
Ohm’s law : U = Z I
 Some basics of Fourier (or Laplace) transforms cannot hurt for signal theory


Many more details are given in the transparencies -> don’t be scared !
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
3
Electronics in experiments
 A lot of electronics in the experiments…
Readout electronics : amplification, filtering… : Analog electronics (A,V,C)
 Processing & Trigger electronics : Digital electronics (bits) [see lecture of Cittolin]
 The performance of electronics often impacts on the detectors

9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
4
Overview of readout electronics
 Most front-ends follow a similar architecture
fC
Detector
V
Preamp
V
Shaper
Analog
memory
V
bits
ADC
FIFO
DSP…
 Very small signals (fC) -> need amplification
 Measurement of amplitude and/or time (ADCs, discris, TDCs)
 Several thousands to millions of channels
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
5
Readout electronics : requirements
Low noise
High speed
Low power
Large
dynamic
range
High
reliability
Radiation
hardness
Low
cost !
Low
material
(and even less)
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
6
The foundations of electronics
 Voltage generators or source
Ideal source : constant voltage, independent
of current (or load)
 In reality : non-zero source impedance RS

V
RS → 0
 Current generators
Ideal source : constant current, independent
of voltage (or load)
 In reality : finite output source impedance RS

RS → ∞
i
 Ohms’ law
Z = R, 1/jωC, jωL
 Notice the sign convention

i
V
Z
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
7
Frequency domain & time domain
 Frequency domain :

V(ω,t) = A sin (ωt + φ)
• Described by amplitude and phase (A, φ)



Transfer function : H(ω) [or H(s)]
vin(ω)

vout(ω)
h(t)
vout(t)
= The ratio of output signal to input signal in the
frequency domain assuming linear electronics
F -1
Vout(ω) = H(ω) Vin(ω)
 Time domain

H(ω)
Impulse response : h(t)
= the output signal for an impulse (delta)
input in the time domain
The output signal for any input signal
vin(t) is obtained by convolution * :
 Vout(t) = vin(t) * h(t) = ∫ vin(u) * h(t-u) du
vin(t)

 Correspondance through Fourier transforms
 X(ω) = F
{ x(t) }
= ∫ x(t) exp(jωt)dt
 a few useful Fourier transforms in appendix below
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
8
Appendix 1 : a few useful Fourier Transforms






H(ω) = 1
<->
H(ω) = 1/jω
H(ω) = 1/(1+jωT)
H(ω) = 1/jω (1+jωT)
H(ω) = 1/(1+jωT)n
…
9-11 july 2003
h(t) = δ(t)
(impulse)
h(t) = S(t) =
(step)
h(t) = exp(-t/T)
(low pass filter, exponential)
h(t) = 1 - exp(-t/T)
h(t) = 1/n! (t/T)n-1 exp(-t/T)
C. de La Taille
Electronics CERN Summer School 2003
9
Using Ohm’s law
 Example of photodiode readout
Used in high speed optical links
 Signal : ~ 10 µA when illuminated
 Modelisation :

volts
• Ideal current source Iin
• pure capacitance Cd
light
 Simple I to V converter : R !

R = 100 kΩ gives 1V output for 10 µA
10 Gb/s optical receiver (Orx)
 Speed ?
Transfer function H(ω) = vout/iin
 H has the dimension of Ω and is often
called « transimpedance » and even more

often (improperly) « gain »
Vout
I in
Cd
100K
H(ω) = R/(1 + jω RCd)
 -1/jRCd is called a « pole » in the
transfer function

9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
10
Frequency response
 Bode plot
Magnitude
Magnitude (dB) = 20 log |H(jw)|
 -3dB bandwidth : f-3dB = 1/2πRC

100 dBΩ
• R=105Ω, C=10pF => f-3dB=160 kHz
• At f-3dB the signal is attenuated by
3dB = √2, the phase is -45°

80 dBΩ
Above f-3dB , gain rolls-off at
-20dB/decade (or -6dB/octave)
Phase
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
11
Time response
10Gb/s eye diagram
ps/div)
Impulse(10
response
 Impulse response

h(t) = F
-1
{ R/(1+jωRC) }
= R/ τ exp(-t/τ)
 τ (tau) = RC = 1 µs : time constant
 Step response : rising exponential

H(t) = F
-1
{ 1/jω R/(1+jωRC) }
= R [ 1 - exp(-t/ τ) ]
 Rise time : t10-90% = 2.2 τ
 « eye diagramm »
 Speed : ~ 10 µs = 100 kb/s !
 Still 5 orders ofmagnitude away
from a 10 Gb/s link !
9-11 july 2003
C. de La Taille
pulse response
tr 10-90%
Electronics CERN Summer School 2003
12
Current preamplifiers in theory
 Improve with an opamp
Vout = G(vin+- vin-)
 G >> 1 : « open loop gain »
 Vin+ = 0 ; iin- = 0

 Transimpedance configuration
Rf between input and output (« shunt-shunt
feedeback ») -> « current preamp » (PAI)
 Transfer function :

Current preamplifier
architecture
• Vout - vin = - Rf if
• Vin = (iin - if)/ jω Cd = - vout/G
vout/iin = - Rf /(1 + jω RfCd/G)
 Bandwidth improvement by G >>1

Example with LM741, (G0=2 105) => BW = 3.2 THz !
9-11 july 2003
C. de La Taille
Looks great !
Electronics CERN Summer School 2003
13
Current preamp in practice
 With an old LM741
 Oscillations : ω0 = 500 kHz
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
14
Current preamp in practice
 Trying a more modern opamp… (OP 620 GBW=300 MHz)

More (but faster) oscillations
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
15
Stability in current preamps
 What happens ?
Open loop frequency response of OP620
Opamp open loop gain varies with
frequency
 G(ω) = G0/(1 + j ω/ω0)


• G0 : low frequency gain
• ω0 : dominant pole
• 90° phase shift above ω0
90° Phase shift in opamp + 90° phase shift
on detector capacitance = 180° =>
oscillations
frequency response of 2nd order
 Also with the maths :
H(jω) = -Rf / (1 + jω RfCd/G(ω))
- Rf / [1 + jω RfCd(1/G0 + jω/G0w0)]
- Rf / (1 + jω RfCd/G0 - ω2 RfCd /G0w0)
 2nd order system

9-11 july 2003
C. de La Taille
=
=
Electronics CERN Summer School 2003
16
Current preamp seen from the input
Input impedance of PAI
 Input impedance Zin
Zin = vin/iin = Rf/(G+1) -> small
 Low input impedance = « virtual ground »
 Current sensitive input

 Inductive behaviour
With G(jω) = G0/(1 + j ω/ω0)
 Zin = Rf/ G0 + j ω Rf/G0ω0
 Virtual inductance : Leq = Rf/G0ω0

• Ex : LM741 (G0ω0=107) : Leq = 10 mH
• Ex : OP620 (G0ω0=109) : L = 100 µH
 RLC circuit with capacitive detector
Resonant frequency : fres = 1/2π √LeqCd
 Quality factor : Q = R / √Leq/Cd
 Q > 1/2 -> ringing
• Ex : LM741 : Q=105 √10-2/10-11 = 3
• Ex : OP620 : Q=105 √10-4/10-11 = 31 !

9-11 july 2003
C. de La Taille
Cd
10pF
Rf
100kΩ
Leq
100µH
Electronics CERN Summer School 2003
Equivalent circuit on the input
17
Stabilisying the current preamp
 Damping the oscillations:
Need a resistor such as Q=1/2
 R = 0.5 √Cd/Leq
-> 1.5k
 Resistor on the input : OK but
noisy -> Virtual resistor :

 Capacitance in feedback : Cf

Resistive input impedance
Req = 1/ G0ω0 Cf
• Virtual resistor (noiseless)

Q = 1/Cf √(Cd/Rf G0ω0)
 Q=1/2 => Cf=2 √(Cd/Rf G0ω0)
 Example :

• LM741 (G0ω0=107) : Cf=10pF
• OP620 (G0ω0=109) : Cf=0.5pF
Cf
 Speed : ~ 200 ns = 5 Mb/S
 Only 3 more orders of magnitude
to gain for the 10 Gb/s link !
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
18
Charge preamps (1)
 Capacitive feedback
Transimpedance configuration
 Similar to current preamp : Rf -> Cf
 Vout(ω)/iin(ω) = - Zf = - 1/jω Cf
 Integrator : vout(t) = -1/Cf ∫ iin(t)dt

vout(t) = - Q/Cf
Charge preamplifier
architecture
 Charge sensitive preamplifier (PAC)
 Output proportionnal to the incoming charge
 « Gain » : 1/Cf
 Cf = 1 pF -> 1 mV/fC
 Transforms a short pulse into a long one
 The front-end of 90% of particle physics
detectors
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
19
Charge preamps (2)
 Input impedance
Input impedance of a PAC
Zin = 1/jω G0Cf + 1/ G0ω0 Cf
 Low resistive input impedance


Rin = 1/ G0ω0 Cf
G0ω0 is given by the preamp
design
 Determines the risetime at the
output :ReqCd
 Good stability (…!)

• Low sensitivity to detector
capacitance
• Small crosstalk
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
20
Charge preamp example
 Monolithic circuit
Input
Output
Cf
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
21
Charge preamps in practice
 D0 Lar calorimeter charge preamplifer
Z0
Input
Output
preamp
driver
Zf
FET
9-11 july 2003
2”
C. de La Taille
Electronics CERN Summer School 2003
22
10 Gb/s transimpedance amplifier
 « Simple architecture »
9-11 july 2003
C. de La Taille
Electronics CERN Summer School 2003
23