Download Modulation

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

Islanding wikipedia , lookup

Spectrum analyzer wikipedia , lookup

Electronic engineering wikipedia , lookup

Resistive opto-isolator wikipedia , lookup

Chirp spectrum wikipedia , lookup

Dynamic range compression wikipedia , lookup

Wien bridge oscillator wikipedia , lookup

Analog-to-digital converter wikipedia , lookup

Spectral density wikipedia , lookup

Oscilloscope history wikipedia , lookup

Regenerative circuit wikipedia , lookup

Opto-isolator wikipedia , lookup

Pulse-width modulation wikipedia , lookup

Heterodyne wikipedia , lookup

Single-sideband modulation wikipedia , lookup

Transcript
AM MODULATION/DEMODULATION
Written For:
Prof. Ray Kwok
EE 172
Written By:
Chad Schrader
Benjamin Dubois
Omar Castillo
Ryan Clarke
Efrem Habte
Farial Mahbub
Introduction:
(Written by Efrem Habte)
Our group is the Modulation/Demodulation/Mixer group.
The purpose of this project is to modulate and demodulate
an AM
signals. There are different ways of modulating and demodulating
AM
signals. This project is done with the
simple
desig
available while
maintainin
the desired high frequenc
signal
T
Modulation
(Written By Ryan Clarke)
Modulation is a technique used for encoding information into a RF channel.
Typically the process of modulation combines an information signal with a carrier signal
to create a new composite signal that can be transmitted over a wireless link. In theory a
message signal can be directly sent into space to a receiver by simply powering an
antenna with the message signal. However, message signals typically don't have a high
enough bandwidth to make direct propagation an efficient transmission technique. In
order to efficiently transmit data, the lower frequency data must be modulated onto a
higher frequency wave. The high frequency wave acts as a carrier that transmits the data
through space to the receiver where the composite wave is demodulated and the data is
recovered. There are a few general types of modulation; Frequency Modulation (FM),
Phase Modulation (PM), and Amplitude modulation (AM). Frequency modulation
encodes data by performing shifting of frequency, phase modulation performs shifts in
phase, and amplitude modulation controls the envelope of the carrier wave. AM is
usually the simplest to implement and is thus the scheme we chose for our modulator.
In an AM radio system a high frequency sinusoidal wave is amplitude modulated
by a lower frequency message signal.
This can be expressed by
Vam(t) = [(A + Vm(t))] Cos(2πfct)
where Cos(2πfct) is the carrier frequency and Vm(t) is the modulating signal. In our
application fc = 915 MHz and Vm(t) = Audio Signal. We can take Vm(t) = VmCos(2πfmt)
where fm = highest frequency component in the message signal. For transmitting audio, fm
= 20 kHz. The constant "A" is chosen such that Vam(t) never becomes negative. Thus
Vam(t) can be modified to
Vam(t) = A[(1 + mCos(2πfmt))] Cos(2πfct).
In this expression "m" is known as the modulation index. After performing the
multiplication of the modulated signal the spectral output can be determined.
Vam(t) = A[(Cos(2πfct) + mCos(2πfmt) Cos(2πfct))]
Vam(t) = A[(Cos(2πfct) + m/2[Cos(2π(fc-fm)t) + Cos(2π(fc+fm)t))]]
From the multiplication it is evident that the resulting spectrum consists of the center
frequency fc and two side band frequencies (fc - fm) and (fc + fm).
What has occurred is that the low frequency message signal has been translated to a much
higher frequency range for greater transmission efficiency. Either of the side bands can
be used to recover the message signal at the demodulator. One simply needs to filter out
the unwanted side band before sending the signal to the demodulation stage. This
modulation scheme is typically implemented in circuitry by a component called a
Double-Side-Band mixer. The mixer physically multiplies the carrier wave, driven by an
oscillator, with the message signal to produce the AM signal.
Diode Double Balanced Mixer
(Written by Chad Schrader)
Our group implemented the modulator by using a diode double-balanced mixer
design. We decided to use this design because it seemed like it would be the most
straightforward. The mixer basically consists of two balanced to unbalanced transformers
(baluns) and a Schottky diode quad. The Schottky quad basically is a rectifier that
multiplies the local oscillator input (915 MHz) and the audio input (20 kHz). We
implemented the Schottky quad by putting four Schottky diodes in a ring formation. The
two baluns are used to match the circuit to the external circuits that are connected to the
mixer. We made our own baluns by buying some toroidal ferrite cores and #32 wire and
wrapping the cores according to a schematic for a 1:1 balanced to unbalanced transformer
found in the ARRL Handbook. The cores were wound using three wires twisted together.
There were 7 winds on the toroid in total. This circuit was constructed on a protoboard,
taking care to try to keep the leads between components as short as possible. SMA
connectors were used for interfacing with the other circuits in the transmitter.
Envelope Detector
The demodulator was implemented using an envelope detector circuit. This
consists of a diode and a low pass filter circuit. The low pass filter circuit is simply a
capacitor and a resistor in parallel. The values for the resistor and the capacitor were
calculated using the following equation:
1
1 2
RC  [(c  m) ]
where

c
is the local oscillator frequency (915 MHz) and

m
is the frequency of the
audio signal (20 kHz). Once we found a value for RC ( 2.34  10 7 ), we assumed a value
of 1000  for R. This gave us a value of 23 pF for C. In our implementation of the circuit,
we used a 24 pF capacitor because that was the closest standard value. The circuit was
constructed on a protoboard, again trying to keep the leads between components as short
as possible. We also used SMA connectors for the input and output of this circuit.
Demodulator and Envelope Detector
(Written by Farial Mahbub)
Amplitude Modulation (AM) refers to the method of adjusting an electromagnetic
carrier frequency by varying its amplitude in accordance to the analogue signal to be
transmitted.There are two essential methods that are used to demodulate AM signals and
in this portion of the report we will discuss both. The figure below represents the circuit
used as the Demodulator in this project.
The first method of demodulation is using the envelope detector. The envelope
detector is essentially made up of a rectifier and a low pass filter (see figure below). In
this project a diode was used as the rectifier to pass current in one direction only. In order
to calculate the value of the RC time constant to be used, the following equation is used:
2πfC >> 1/(RC) > 2πfm
Vr = Vp (1 –e -1/fcRC)
where fC and fm are the carrier frequency and the modulated frequency respectively. The
reason the inverse of the time constant is significantly smaller than the carrier frequency
is to keep the ripple created minimal. The second equation shown above defines the peakto-peak value of the ripple, Vr of the rectified signal and where Vp is the peak value of the
incoming signal and fc is the frequency of the signal.
The second method for demodulation that we did not choose to implement is the
product detector. This circuit essentially multiplies the incoming signal by the signal of a
local oscillator which is at the same frequency and phase as the carrier signal. After
filtering the product, only the original audio signal remains (works for AM as well as
Single Side Band Modulation, SSBM).
The output of the above described circuits can be seen graphically in the figure below.
The Signal 1 is the modulated signal that is applied to the Detector. The diode present in
the circuit demodulates the AM signal by allowing its carrier to multiply with its
sidebands. The diode passes current in only one direction and its output voltage is
proportional to the square of its input voltage. Thus, if an input voltage that varies
according to the modulation envelope is used, the information present in the sidebands
would be successfully recovered. Once the signal is rectified (after it passes through the
diode), it resembles Signal 2. The next component in the circuit is the low-pass filter (the
resistor and capacitor in parallel) and this filters out the RF and turns it into Signal 3. The
coupling capacitor in the circuit is present to eliminate the DC component in the received
signal thus centering the information signal around the zero axis as in Signal 4.
Measurements, Testing, and Calculations of the Amplitude Modulator
(Written By Omar Castillo)
A plot of Power S one sideband (dBc) against modulation index(m) for DSB-LC is
shown below.
M
0.1
1
2
S(dBc)
-26.02
-6.02
0.0006
Sideband Power vs. Modulation Index
5
Sideband Power(dBc)
0
-5
-10
-15
-20
-25
-30
0
0.5
1
1.5
2
2.5
Modulation Index, m
Testing the Sidebands
We arbitrarily set the carrier signal to 30kHz to make the sidebands as close to equal as
we can make them. With the carrier signal set at 30kHz, a 3kHz 10Vpp sinusoidal signal
was sent through the input port where audio would be inputted and the sidebands were
measured.
fc = 30kHz
Power
fc + 3kHz
45.55dBm
fc - 3kHz
45.16dBm
Double Sideband Suppressed Carrier (DSB-SC) Modulation.
With the carrier signal set at 30kHz, we input signal at 3 kHz, 10Vp-p sinusoidal without
DC offset signal being applied. Scope and spectrum analyzer measurements were taken at
the output.
f(kHz)
27
33
86.2
92.6
P(dBm)
-22.71
-23
-53.3
-56.9
Spectrum of DSB-SC Signal
0
-10
Power (dBm)
-20
-30
-40
-50
-60
-70
-80
0
30
60
90
120
Frequency (kHz)
The measured spectrum of the DSB-SC is comparably close to the theoretical model.
We now varied the input signal and tested the output. The input modulating signal
amplitude was varied and both the input and output voltage were measured.
Vo,pp(V)
0
0.8
1.5
2.3
3
4
Vo vs. Vin
4.5
4
3.5
3
Vo,pp(V)
Vin,pp(V)
0
2
4
6
8
10
2.5
2
1.5
1
0.5
0
0
2
4
6
8
10
12
Vin,pp(V)
The modulating signal (input signal) and the output amplitudes are proportional by the
amplitude of the carrier frequency.
Keeping the input amplitude constant at 10Vpp, we varied the frequency of the
modulating input signal from 4kHz to 10kHz in increments of 2kHz and measured
corresponding amplitudes.
f(kHz)
4
6
8
10
Vo,pp(V)
9.5
9.5
9.5
9.5
From the data it appears that the frequency of the modulating signal does not affect the
output amplitude.
Double Sideband Large Carrier (DSB-LC) Modulation
We now apply a DC Offset to get a DSB-LC signal. On adding DC offset to the input we
get an equation of the form [A + f(t)] which forms basic form of DSB-LC, i.e [A +
f(t) ]cosωct.
We continue to use an input modulating signal of 3kHz, 2Vp-p sinusoidal with a +2V DC
offset. Measurements of the output were taken on both the oscilloscope and spectrum
analyzer. Data of the spectrum was taken twice, once with a span from 0-120kHz and
another with a span of 10-50kHz.
f(kHz)
27
30
33
86.2
89.2
92.2
P(dBm)
-36.4
-24.6
-36.8
-67.07
-56.3
-70.08
f(kHz)
26.6
29.6
32.7
Spectrum of DSB-LC Theoretical Model
0
0
-10
-10
-20
-20
Power(dBm)
Power(dBm)
Spectrum of DSB-LC Actual Results
-30
-40
-30
-40
-50
-50
-60
-60
-70
-70
-80
0
30
60
Frequency(kHz)
90
P(dBm)
-36.64
-24.4
-36.9
120
-80
10
20
30
Frequency(kHz)
40
50
Comparing the spectrum of the DSB-LC with the theoretical model, they are almost the
same.
Looking at the modulated signal at the output, we obtained values for Emax and Emin
and computed the modulation index.
Emax = 2.2Vpp
m = 0.468
Emin = 0.8Vpp
The input amplitude at the carrier input was varied from 0-10Vpp in 1V increments and
measurements of the max and min energies were taken from the oscilloscope and the
power of the carrier and two sidebands were taken from the spectrum analyzer. Values of
m and S were calculated from the energies and power values.
Vi,pp(V) Emax(V) Emin(V)
0
1.6
1.5
2
2.2
1.9
4
1.9
0.1
6
3.6
-0.8
8
4.2
-1.6
10
4.9
-2.3
Pl = left sideband power
Pc = Carrier power
Pr = right sideband power
Pl(dBm)
-56.3
-37.09
-31.1
-27.1
-24.6
-22.7
Pc(dBm)
-24.3
-24.4
-24.8
-24.9
-25.1
-25.3
Pr(dBm)
-56.6
-37.4
-31.4
-27.5
-25.13
-23
m
0.032258
0.073171
0.9
1.571429
2.230769
2.769231
S(dBc)
-32.15
-12.845
-6.45
-2.4
0.235
2.45
Modulation Index vs. Vin
3
Modulation Index, m
2.5
2
1.5
1
0.5
0
0
2
4
6
8
10
12
2.5
3
Vin,pp(V)
Sideband Power vs. Modulation Index
5
Sideband Power (dBc)
0
-5
-10
-15
-20
-25
-30
-35
0
0.5
1
1.5
2
Modulation Index, m
Plotting the modulation index vs. the input amplitude, the data shows that there is a linear
relationship. This makes sense since the input amplitude has a direct relationship with
Emax and the values of the m were calculated from the Emax and Emin values.
Looking at the graph for sideband power vs. modulation index, it looks close to
theoretical models.
Using a 3kHz, 2Vpp sinusoidal signal as our input, the DC offset was varied from -6 to
+6V in 1V increments. Measurements were taken from the oscilloscope of Emax and
Emin and the modulation indices were calculated.
-6
-5
-4
-3
-2
-1
0
1
2
3
4
5
6
Emax(V)
5.2
4.5
3.8
3
2.3
1.6
0.8
1.8
2.3
3
3.8
4.5
5.1
Emin(V)
4
3.2
2.4
1.7
0.9
0.1
0
0.1
1
1.7
2.5
3.3
3.9
m
0.130435
0.168831
0.225806
0.276596
0.4375
0.882353
1
0.894737
0.393939
0.276596
0.206349
0.153846
0.133333
Modulation Index vs. Input DC Offset
1.2
1
Modulation Index,m
DC Offset (V)
0.8
0.6
0.4
0.2
0
-8
-6
-4
-2
0
2
4
DC Offset(V)
The relationship between the modulation index and the DC offset seems to be of an
exponential relation. That is m = e-k|x|, where x is dc offset and k is a con
Problem Analysis:
(Written By Benjamin J. S. Dubois)
1. Problem with radiation of circuit boards:

How does radio work?
If you shake ("accelerate") an electrically charged object, it radiates radio
("electromagnetic") waves through space. 60 Hz power lines don't radiate much, a 1
Gigahertz printed-circuit board wire radiates enough to be a bother.
(http://comsec.com/wiki?HowToRF)
This quote expresses the fundamental issue with our circuit: at 915 MHz close to
1GHz each connecting lines will radiates electromagnetic waves. Hence our circuits
create interferences. A near perfect circuit would use substrates, or copper tapes instead
of wires.
2. Problem with DSB-LC AM:
There are various forms of Amplitude Modulation
1. Conventional Amplitude Modulation (Alternatively known as Full AM or Double
Sideband Large carrier modulation(DSB-LC)
2. Double Sideband Suppressed carrier(DSB-SCS) modulation
3. Single Sideband (SSB) modulation
4. Vestigial Sideband (VSB) modulation
6
8
We are using DSB-LC.
Over-Modulation with DSB-LC:
In the Testing section, the modulating index m is merely defined as a parameter, which
determines the amount of modulation. However, we have to ask ourselves a question of
what is the degree of modulation required to establish a desirable AM communication
link?
The answer to the question is to maintain m < 1.0(100%).
This is important as to ensure successful retrieval of the original transmitted information
at the receiver end. Note that by performing the demodulation process (reverse of
modulation) the message signal is simply being traced out from the envelope of the
modulated signal. To have a quick recap, amplitude of the modulated signal varies in
proportion to the amplitude of the information signal.
Thus, once m > 1.0(100%), envelope distortion will occur and the waveform is said to be
overmodulated. Under this circumstances, Ac is large enough, resulting the nonproportionality of s(t ) to s m (t ) ----hence distortion of the desire message signal!!
Ac: DC component of Amplitude of carrier signal; s(t) is AM signal; sm (t ) is modulation
signal.
(http://foe.mmu.edu.my/course/etm3046/notes/AM(-DSSC)ETM2042.doc; Chapter 3
Amplitude modulation H
Communications I -ETM2042)
3. Problem with Demodulation: (using an Envelop detector)
a Rectifier with a Filter Capacitor= The Peak Rectifier
We can avoid negative peak clipping by choosing a small value of . However, to
minimize ripple we want to make
choose a value
as large as possible. In practice we should therefore
to minimize the signal distortions caused by
these effects. This is clearly only possible if the modulation frequency
.
Envelope detectors only work satisfactorily when we ensure this inequality is true.
RC is too close to inverse of modulation frequency, excessive ripples but no negative
peak.
RC is too close to inverse of modulation frequency, less ripples but significant negative
peak
”Just” middle when RC is at average on log scale between inverses of carrier and
modulation frequencies.
Construction of the Demodulator Circuit:
(Written by Efrem Habte)
Since the frequency that we are using for the project is very high (915MHz) the first
thing that we have to put on mind is how we can reduce the power loss and distortion.
When constructing this circuit we tried of using high frequency board that we can find
(FR4). We cut the leads as short as possible and solder them to the board to reduce
distortion and power loss.
Another Equation that we use also for checking is
2Πfc = 1/R3C2 > 2Πfm
Where fc is a carrier frequency and fm is the modulating frequency.
Using this equation you have to basically play around with the values of the resistor and
capacitor until you get the frequency required.
Why we make the leads short:
Distortion is a signal due to non-linearity in the circuits. In order to have a good
circuit of the system we should introduce as little distortion as possible. The reasons for
distortion come from long leads and from inside of the manufacturing component. An
example is a Thermal noise, which is a noise created by the resistor.
Conclusion:
In this project we implemented a AM modulator and demodulator. We implemented
the modulator by using a diode double balanced mixer. The demodulator was
implemented using a envelope detector. The mixer circuit was tested using a spectrum
analyzer. We also discovered some of the limitations of our circuit design.