Download PAPER TITLE

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

Heterodyne wikipedia , lookup

Electrical engineering wikipedia , lookup

Fault tolerance wikipedia , lookup

Public address system wikipedia , lookup

Telecommunications engineering wikipedia , lookup

Last mile wikipedia , lookup

Electronic engineering wikipedia , lookup

Opto-isolator wikipedia , lookup

Transcript
Behavioural modelling of
Photonic and optronic systems
F. Gaffiot(1), G. Jacquemod, P.Bontoux, F.Mieyeville and I.OConnor
LEOM UMR CNRS 5512
36 Avenue Guy de Collongue, B.P. 163, 69 131 Ecully Cedex, FRANCE
(1) [email protected]
Abstract: In order to find the optimal design for a given specification of a lightwave communication link, an
integrated simulation of electronic, optoelectronic and optical components of a complete system is required. Models,
for optronic and purely photonic components, written in HDL-ATMand providing an accurate time domain
simulation of an entire optical communication system in a standard circuit simulator environment, are presented.
Introduction
The global design of electronic systems through the
use of standard hardware description languages from
the system specification phase down to final circuit
design is being established [1], [2]. The hierarchical
character of the language provides a natural way of
reducing the gap between system and circuit design.
Moreover, it can be used even in a larger system
design context, such as that of hardware software codesign or behavioural modelling and simulation of
digital signals and systems. VHDL-AMS enlarges
this concept to analogue and mixed analogue-digital
systems.
This paper presents a behavioural modelling
approach for optronic systems.
The first part presents the main advantages of
behavioural modelling for optronic and photonic
devices.
The second part presents briefly components used in
a communication optical link (lasers, detectors,
monomode fibres).
The third part puts the emphasis on the modelling of
a MOEMS.
The fourth part deals with devices which may be
used for optical interconnects between VLSI chips.
1-CAD tools for optical systems
From an industrial point of view, the development of
alternative solutions to present methods is possible
only if CAD tools exist. Creating such tools for
optical systems makes it necessary to insure the
compatibility of optronic simulation engines with
electronic ones.
The main feature for such effective CAD tools is
their « multi-domain » and « multi-designer » nature.
The wide spectrum of potential applications and
users leads to the development of tools capable of
providing design capabilities at different levels of
abstraction (from systems to devices), i.e. to manage
multi-domain analysis and to organise links between
specific tools in order to allow top down and bottom
up design [3], [4], [5].
In the case of electronic systems, global design
through the use of standard hardware description
languages from the system specification phase down
to final circuit design is being established. The
hierarchical character of the language provides a
natural way of reducing the gap between system and
circuit design. Moreover, it can be used even in a
larger system design context, such as that of
hardware software co-design or behavioural
modelling and simulation of digital signals and
systems.
Generally speaking, simulation time constraints make
it necessary to perform the simulation of systems
with high-level abstraction models. In the case of
multi-domain systems, the main difficulty is to
establish a clear and univocal hierarchy of the
different levels of abstraction. This is due to the
strong interactions between components arising from
non electronic phenomena (for example, the
propagation of the optical field in a guide depends
not only on its own parameters, but also on the
characteristics of the light source). Thus, because of
the diversity of component behaviour involved in
optronic systems, a unique hierarchy, where each
level is associated with a unique simulation engine, is
not sufficient. An effective CAD tool should bring
together different modelling techniques and
simulation algorithms. A standard language must,
then, link these tools.
Even if VHDL-AMS is essentially dedicated to
electronic system design, nonelectronic components
and systems can also be described and simulated [6],
[7], [8], and thus may be considered as a candidate
able to fulfil previous requirements.
2- Communication link devices
In this section, we present briefly the models used to
simulate an intensity modulated direct detection
(IMDD) optical communication link : a laser is
directly modulated by a pseudo-random generator,
the optical signal is transmitted along a standard
monomode fibre, and after optical amplification and
filtering, it is detected by a photodiode. Post
processing tools allow us to draw the eye diagram, in
order to find the optimal decision threshold
automatically, and to extract the bit error rate (BER)
to estimate the performance of the link.
Laser model
The MQW DFB laser operates at 1.55 m and is
directly modulated at 10 Gb/s using a NRZ pseudo
random current signal. The current pulse from the
laser driver is directly injected into coupled
differential equations (eq.1) which solve the electronphoton balance. The whole laser model has two
coupled parts : a purely electrical one and a second
one, which describes the electro-optic behaviour.
Thus, it becomes possible to include optronic
components in a classical electronic CAD tool.
The electro-optic conversion is based on the rate
equation approach : the behaviour of a single mode
semiconductor laser above threshold is described by
the following three rate equations for the electron
density N(t), the photon density S(t) and the
instantaneous phase (t) [9] :
g n g ( N  N 0 )
dN
I

 AN  BN 2  CN 3 
S
dt eV
1  S
(1a)
dS  g n g ( N  N 0 )
1
R
 

S 
dt 
1  S
 p 
V
d 1
 g n g ( N  N th )
dt
2
(1b)
(1c).
The optical power and the complex envelope of the
electric field at the input of the fibre may then be
expressed by :
Vh
P( t ) 
S( t ) (2a)
2 p
and E in ( t )  P( t ) e j( t ) (2b).
and Pout ( t )  A Ein ( t )  h( t)
with h( t )  1  j 42 L
 12
2
(3b)
2


exp  jt 2 L
2 

(4).
A, L, D=  2c2 20 are the attenuation, the length
and the group velocity dispersion parameter of the
fibre, respectively ; c, 2 and 0 are the speed of light,
the dispersion and the central wavelength of the
optical signal.
In order to calculate Eout in the time domain, the
infinite impulse response h(t) is approximated by a
FIR numerical filter and a digital process block
samples Ein and computes
the convolution
numerically :
E out  kTs  
N 1
 a ( n) E in  k  n Ts 
(5)
n0
(Ts is the sampling period and N the FIR filter
order).
To obtain the filter coefficients a(n), h(t) is truncated
and smoothed by the classical time window function
hW(t).
p
h W ( t )  0.54  0.46 cos  t NTs 
(6).
A comparison between this time domain model and a
classical BPM algorithm has been carried out for
different values of the order of the filter N. For
N=200, the simulation results of the two methods are
closer than 5% and computation times are analogous
(about 1 minute for a pseudo random frame of 64 bits
on a Sparc20 workstation).
The main advantage of the time domain method is its
full compatibility with transient analysis, which
makes on line simulation possible, whereas BPM
method needs the knowledge of the whole frame
before the simulation may be performed.
Detection
The detector is considered as purely quadratic : the
generated signal is proportional to the output optical
power. The electrical signal is then filtered. The
decision circuit is modelled by an ideal sampler, of
which the threshold and decision instants are
adjustable.
Simulation results
Fibre model
The fibre model takes into account only linear
propagation phenomena (the injected power
remaining weak, the Kerr effect may be neglected),
so the field at the output of the fibre is computed as
the convolution of its impulse response h(t) and the
input field Ein(t) :
Eout ( t )  Ein ( t )  h( t ) (3a)
The parameters of the laser, the fibre and the
detector, used in the models, are given in [10].
Figure 1 shows typical simulation results for a point
to point link. In this example, the fibre impulse
response has been approximated with N=1000 (see §
2.3). The sampling criteria is largely satisfied since
the sampling frequency is 500 GHz and much higher
than the laser signal bandwidth (10 GHz).
Top Bragg
Reflector
p
InP 
Air 
InP 
Air 
InP 
Resonant
Cavity
i
Air 
Bottom Bragg
Reflector
n
InP 
Air 
InP 
Air 
InP 
n
Additional air
InP substrat n+
Figure 2 : Schematic structure of the micromachined
filter
Modelling of the MOEMS
Figure 1 : Typical simulation results: generator signal,
drive current, frequency chirp, optical power at the
fibre input and after a 50 km propagation in the fibre
The behavioural model of the optical tunable filter is
shown in figure 3 : electrically, it behaves like a
reversed biased pin diode. The internal tuning voltage
(V) induces an electrostatic force, which reduces the
thickness of the cavity (d). Thus, for each optical
channel (Chi), the transfer function (Hi) is modified
depending on the channel wavelength (i).
Electrical
3- MOEMS modelling
WDM is highly promising for a wide range of optical
communication applications (for example, increase of
data rate of existing optical networks, computer
interconnections). Such
systems need highly
selective and tunable optical filters. MOEMS (microopto-electro-mechanical systems) technology is
suitable for the realisation of such filters [11]. From a
system designer's point of view, it is highly desirable
to be able to simulate, in the same environment, the
physical layer and the high level layer. It then
becomes possible to relate the physical design
parameters to system performance.
MOEMS design
The filter is essentially made up of a Fabry-Perot air
gap resonant cavity and two high reflectance Bragg
mirrors based on InP/air-gap pairs. Due to the high
index contrast between the air and the InP quarterwave layers, reflectivity as high as 99.9 % is
achieved with only 2.5 pairs (Fig. 2). Selective
micromachining of InGaAs sacrificial layers allows
the fabrication of the reflectors and the cavity air gap.
The layers of the top mirror are p-doped, the cavity
ones are non-intentionally doped and the bottom
mirror ones are n-doped, thus, a p-i-n junction is
formed. A reverse voltage applied to the junction
induces an electrostatic force, which reduces the
Fabry-Perot cavity thickness and tunes the resonant
wavelength.
Mechanical
V
~
d
Ch0
Ch1
...
ChN
Hi(lambdai,d)
ChiHi
Optical
Figure 3 : Opto-electro-mechanical behavioural model
The electrical behaviour of the MOEMS is analogous
to a PIN, depending on the geometrical parameters of
the bridge.
The paddle-shaped bridge is designed in such a way
that the arms support the whole mechanical effort and
the two platforms adjacent to the cavity stay parallel
without deformation. The structure has several
mechanical resonance modes, but a reasonable
approximation of the displacement is obtained by
considering only the first mode. Thus, the dynamics
of the beam is described by a simple second order
motion equation :
M
d 2x
dt
2

dx
 kx  F (9)
dt
where t is time, x is the displacement, M the mass of the
platform, F the electrostatic force,  is the damping
coefficient and k the spring constant. The electrostatic force
is related to the voltage V [12] :
F
 0AV 2
 0b a l a V2

2
d d  x
2 d  x
(10)
The optical behaviour of the MOEMS is determined
by the transfer matrix method [13]. The global
transfer matrix [T] of a MOEMS, including N
successive layers, is a product of N transfer matrices
[Tk], taking into account the interface i,i+1, the
thickness di and the wave vector ki of each individual
layer.
 T11 T12 
[T] = 
  [T1 ][T2 ]...[TN ]
 T21 T22 
(11)
When a time domain simulation of the entire
component including electrical, mechanical and
optical behaviour is required, modifications of the
thickness of the air cavity as well as the two air gaps
next to the cavity, due to applied electrostatic force,
are updated to the global transfer matrix [T] at each
simulator time step. We have assumed that the
information channel bandwidth BI is small compared
to the optical filter bandwidth BF. Thus, the optical
output O of the model is the sum of the contributions
of each individual channel, calculated by multiplying
the channel contents chi(i,t) by its transfer function
Hi{[T(i,x(t))])} at i, where x(t) is the displacement
of the beam center (relation 12).


O =  H i T(i , x(t)) chi (i ,t) (12)
i
Simulation results
The comparison between measured and simulated
spectra shows good agreement (fig. 4), concerning
the resonance wavelength shift versus tuning voltage.
Such a behavioural model allows to link naturally the
physical design parameters of the MOEMS, and
system specifications : the hierarchical structure of
the language allows, in one hand, the transfer of
system specifications to technological parameters of
the devices and, on the other hand, an effective
measurement of the influence of the devices on the
performance of the system. The global optimisation
of the whole system (including its elementary
components) is then made possible.
As an example, a WDM network has been modelled
in a mixed-signal environment. The optical and
optoelectronic components are described by HDLA models and the network controller with VHDLlike models[14],[15].
4-Optical interconnects
In the short term, interconnects will be a severe
bottleneck in the evolution of electronic systems
performances. According to the SIA roadmap, next
generation technologies will lead to specifications
that metallic interconnects will not be able to reach
[16].
Table 1 shows such constraints for the near-2010
50nm technology.
Table 1: predictive performances of VLSI circuits
in the early 2010
Technology generation
Transistors/chip (microprocessor)
Chip size
Number of package pins
On-chip clock
Chip to chip buses
Supply voltage
Maximum dissipated power
<50nm
>500 M
600 mm2
>2000
>1GHz
>1GHz
<1V
>150W
Optical solutions are potentially available to alleviate
some of these constraints, essentially because they
offer a better bandwidth/power compromise
comparing to electrical interconnects as soon as the
length of the link exceeds some millimeters.
Since they do not withstand exactly the same
constraints, and, then, do not address the same optical
alternative, it is usual to distinguish on-chip and chipto-chip interconnects.
On-chip optical interconnects
Figure 4: Comparison between experimental and
simulated tuning characteristics
The increase of the integration density will allow one
to realise VLSI’s with tenths of millions of transistors
working at some gigahertz clock frequencies. Such
potential will certainly lead to improve the
functionality of the chips in two concurrent ways: the
design of new architectures and/or the integration of
present discrete VLSIs in a unique one.
In such a context, it is possible to mention, from now
on, some improvements that optical interconnects
may provide: the increase of high frequency
connectivity between functional blocks and the
relative simplicity of the clock distribution.
Guided optics is certainly most suited for high density
connectivity and technological compatibility requirements
that on-chip interconnects demand, although integrated
microsources are not yet available.
Modelling and simulation of guided optics use
different methods and algorithms. In the case of
integrated guides, coupled wave phenomena impose
to solve Maxwell’s equations, moreover the
constraint of simulating photonic systems in the time
domain lead to use a FDTD (finite difference - time
domain) method [17], [18]. Unfortunately, FDTD is
drastically time consuming since the discretisation
step has to be smaller than the wavelength.
We are investigating, at the present time, the transfer
of FDTD simulation files to HDL models.
Chip-to-chip interconnects
On chip-to-chip scale, optical alternatives have been
investigated from several years and solutions have
been prototyped, they aim to increase the global
throughput of chips and/or to give new solutions to
the problem of massive reconfigurable links needed
for multiprocessor systems. In most cases, proposed
solutions use VCSEL’s and fibre or free-space
propagation; some investigate integrated photonics.
In the aim to simulate free space interconnects, we
have developed models for VCSELs and for free
space propagation.
The model of the VCSEL is based on 1-D single
mode rate equations, which take into account the
distribution of the electric field, the spatial variation
of the gain in the cavity and the overlap of the gain
spectrum and the cavity resonance [19], [20].
The temperature –supposed uniform- of the cavity is
determined from the electrical simulation, and its
influence –especially the shifts of the gain spectrum
and of the cavity resonance- on the behaviour of the
VCSEL is taken into account.
Because this model has to be compatible with
classical electrical ones, DC, AC and transient
simulations may be performed.
Free space propagation is modelled with a Gaussian
beam approximation.
References
[1] R. Lipsett, VHDL : Hardware Description and
Design, Kluwer Academic, New York, 1989.
[2] A. Vachoux, J.M. Bergé, O. Levia and J. Raillard,
Analogue and Mixed-Signal Hardware Description
Languages, CIEM 10, Kluwer Academic Publishers,
1997.
[3] S.D. Senturia, CAD challenges for microsensors,
microactuators and microsystems in Proc. Of IEEE,
Special Issue on Integrated sensors, microactuators
and microsystems, Aug.1998, pp. 1611-1626.
[4] B. Courtois, J.M. Karam, S. Mir, M. Lubaszewski, V.
Szekely, M. Rencz, G. Kelly, J. Aldeman, A.
Morrissey, K.Hofmann and M. Glesner, CAD, CAT
and MPW for MEMS , 8th SASIMI Workshop,
Sendai (Japan), Oct. 1998.
[5] S.D. Senturia, R.M. Harris, B.P. Johnson, S. Kim, K.
Nabors, M.A. Shulman and J.K. White, A computeraided design system for microelectromecanical
systems (MEMCAD) , J. of Microelectromechanical
Systems, vol. 1, no. 1, pp. 3-13, 1992.
[6] G. Borras, K. Vuorinen, C. Odet, F. Gaffiot and G.
Jacquemod, “Modelling solid-state X-ray sensors
using hardware description language”, Sensors and
Actuators A, vol.71, no.,pp. 63-69, 1998.
[7] J.M. Karam, B. Courtois, H. Boutamine, P. Drake, A.
Poppe, V. Szkely, M. Rencz, K. Hofmann, and M.
Glesner, “CAD and foundries for microsystems,” in
Proc. of Design Automation Conference, 1997
[8] X.J.M. Leijtens, O. Le Lourec, and M.K. Smit, “Smatrix oriented CAD-tool for simulating complex
integrated optical circuits”, IEEE J. Sel. Topics in
Quantum Electron., vol 2., no. 2, pp. 257-262, 1996.
[9] S. Mohrdiek, H. Burkhard, F. Steinhagen, H. Hillmer,
R. Lösch, W. Schlapp and R. Göbel, 10-Gb/s
standard fibre transmission using directly modulated
1.55 m quantum-well DFB laser, Photonics
Technol. Lett., vol. 7, no. 11, pp. 1375-1359,
November 1995.
[10] K. Vuorinen, F. Gaffiot and G. Jacquemod,
“Modelling single-mode lasers and standard singlemode fibers using a Hardware Description Language”,
IEEE Photon. Technol. Lett., vol. 9, no. 6, 1997, pp.
824-826,.
[11] A. Spisser, et al.: "Highly selective 1.55 µm InP/airgap micromachined Fabry-Perot filter for optical
communications", Electron. Lett., vol. 34, n° 5, 1998,
pp. 453-455.
[12] F. Sugiwho, M.C. Larson and J.S. Harris Jr.,
“Micromachined widely tunable vertical cavity laser
diodes,” J. of Microelectromechanical Systems., vol.
7, no. 1, pp. 48-55, 1998.
[13] S. Ramo, J.R. Whinnery and T. van Duzer, Fields and
Waves in Communication Electronics, John Wiley &
Sons, Singapore, 1984, pp. 535-547.
[14] K. Vuorinen, G. Jacquemod, F. Gaffiot and C. Seassal,
«A behavioural modelling approach for optical
communication network design», SPIE, vol. 3290, in
Proc. Optoelectronic Integrated Circuits II, San Jose,
1998, p. 353-363.
[15] K. Vuorinen, F. Gaffiot, and G. Jacquemod,
“Behavioural modelling and simulation of optronic
systems”, BMAS'98 IEEE/VIUF International
Workshop on Behavioural Modelling and Simulation,
Orlando (Florida), October 1998.
[16] “The National Technology Roadmap for
Semiconductors Technology”,Semiconductor Industry
Association, January 1998.
[17] A. Taflove : Computational Electrodynamics : The
Finite-Difference Time-Domain Method, Boston :
Artech House, 1995.
[18] A.J. Lowery, P.C. Gurney, X.-H. Wang, L.V. Nquyen,
and M. Premaratne, “Time-domain simulation of
photonic devices, circuits and systems,” SPIE Proc.,
vol. 2693, pp. 624-635, 1996.
[19] T.E Sale ; « Vertical cavity surface emitting lasers »,
Research Studies Press LTD, 1995.
[20] J.W. Scott, S.W. Corzine, D.B. Young, and L.A.Coldren,
« Modeling the current to light chracteristics of indexguided vertical-cavity surface-emitting lasers », Appl.
Phys. Lett., vol.62-10, pp.1050-1052, March 1993.