Download A Low-Power, Process-and-Temperature

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

Pulse-width modulation wikipedia , lookup

Time-to-digital converter wikipedia , lookup

Utility frequency wikipedia , lookup

Electrical ballast wikipedia , lookup

Stray voltage wikipedia , lookup

Mercury-arc valve wikipedia , lookup

Variable-frequency drive wikipedia , lookup

Rectifier wikipedia , lookup

Power inverter wikipedia , lookup

Thermal runaway wikipedia , lookup

Islanding wikipedia , lookup

Ohm's law wikipedia , lookup

Switched-mode power supply wikipedia , lookup

Integrated circuit wikipedia , lookup

Mains electricity wikipedia , lookup

Regenerative circuit wikipedia , lookup

TRIAC wikipedia , lookup

Power electronics wikipedia , lookup

Buck converter wikipedia , lookup

Transistor wikipedia , lookup

Heterodyne wikipedia , lookup

Opto-isolator wikipedia , lookup

Resistive opto-isolator wikipedia , lookup

Current source wikipedia , lookup

Alternating current wikipedia , lookup

Current mirror wikipedia , lookup

Wien bridge oscillator wikipedia , lookup

Transcript
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS
1
A Low-Power, Process-and-TemperatureCompensated Ring Oscillator With
Addition-Based Current Source
Xuan Zhang, Student Member, IEEE, and Alyssa B. Apsel, Member, IEEE
Abstract—The design of a 1.8 GHz 3-stage current-starved
ring oscillator with a process- and temperature- compensated
current source is presented. Without post-fabrication calibration
or off-chip components, the proposed low variation circuit is able
to achieve a 65.1% reduction in the normalized standard deviation
of its center frequency at room temperature and 85 ppm/ C
temperature stability with no penalty in the oscillation frequency,
the phase noise or the start-up time. Analysis on the impact of
transistor scaling indicates that the same circuit topology can be
applied to improve variability as feature size scales beyond the
current deep submicron technology. Measurements taken on 167
test chips from two different lots fabricated in a standard 90 nm
CMOS process show a 3x improvement in frequency variation
compared to the baseline case of a conventional current-starved
ring oscillator. The power and area for the proposed circuitry is
87 W and 0.013 mm2 compared to 54 W and 0.01 mm 2 in the
baseline case.
Index Terms—CMOS analog integrated circuit, low power,
process and temperature compensation, ring oscillator, voltagecontrolled oscillators.
I. INTRODUCTION
T
HE ring oscillator is a crucial component that has been
widely used in analog and digital applications due to its
compact design, wide tuning range, and low power consumption. These characteristics make ring oscillators attractive for
applications with extremely stringent power and cost budget.
Despite its popularity, the ring oscillator suffers from poor frequency accuracy, as its center frequency can vary as much as
26% from chip to chip [1]. This not only limits the ring oscillator’s application as a frequency reference in systems with tight
frequency accuracy specifications, but also leads to over-design in other parts of the system to accommodate the worst frequency offset of the oscillator. A case in point would be the
frequency reference of the wake-up radio in wireless sensor
networks (WSN). This application requires small, cheap, and
power-efficient components, which should be an ideal application for a ring oscillator. Unfortunately, its accuracy requirement
Manuscript received March 13, 2010; revised August 02, 2010; accepted
November 02, 2010. This work was supported in part by the National Science
Foundation (NSF) CAREER Award CCF 0347649, the NSF-sponsored Cornell
Center for Nanoscale Systems, NSF Sponsor Agreement ID: CNS-0834582
titled “Distributed Computing With An Ad-Hoc Network,” and by an Intel
fellowship. This paper was recommended by Associate Editor R. Lotfi.
The authors are with the Department of Electrical and Computer Engineering,
Cornell University, Ithaca, NY 14853 USA (e-mail:[email protected]).
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org.
Digital Object Identifier 10.1109/TCSI.2010.2092110
of a few percent is beyond what can be easily achieved with conventional ring oscillators [2].
The performance of ring oscillators often sets the design constraints of the system. For example, the novel uncertain-IF architecture introduced in [3], which allows relaxed phase noise
and frequency accuracy specifications for local oscillator (LO)
generation, would benefit from a process and temperature invariant oscillator to trade for a wider intermediate frequency
(IF) bandwidth and a reduction in re-calibration. Similar hurdles or tradeoffs also exist in high-speed clock data recovery
(CDR) circuits [4], in direct-conversion receivers (DCR) [5],
in analog phase-locked loops (PLL) [6], and in ultra-wideband
impulse radios (IR-UWB) [7]. All the above mentioned design
limitations call for a low-power, low-variation, high-frequency,
on-chip ring oscillator.
In this paper, we demonstrate a scalable, process-and- temperature compensated GHz ring oscillator implemented with a
low variation addition-based current source that shows more
than 3x improvement in its frequency process variation and temperature stability, as compared to the baseline case of a conventional current-starved ring oscillator.
In Section II, we briefly introduce the related work on low
variation oscillator design. The design concept is presented in
Section III, followed by details of the circuit implementation
with a focus on the addition-based current source in Section IV.
Finally, measurement results are provided in Section V to verify
the oscillator’s superior frequency stability against process and
temperature variations over that of a baseline current-starved
ring oscillator. With modest cost in power and area, such an
oscillator would be a good candidate for applications in wake-up
radios and other RF receiver systems.
II. RELATED WORK
The origins of process variation have been well investigated
in the past [8]. A number of studies have been done to measure and characterize process variability and to extract the major
cause of variability in different technology nodes [9], [10]. The
major sources that contribute to device level random offsets in
deep-submicron fabrication are mismatch in device geometry
caused by lithographic limits; differences in doping, diffusion
depth, and ion implantation; and electrical environment variations related to large-scale nonuniformities and pattern density in the process. Apart from the fabrication process, thermal
gradient and mechanical stress can also induce variation in the
circuit [11]. Process variation is often broken down into lot-to-
1549-8328/$26.00 © 2010 IEEE
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
2
lot, wafer-to-wafer, die-to-die, and within-die variation [12] according to the statistics obtained at different levels. This classification is particularly useful in analyzing variation’s impact on
system performance, and will be adopted in this paper.
Due to its numerous and heterogeneous origins, general
methods to reduce variation are rare. Instead, people tend to
target one source of variation at a time: deliberate common
centroid layout, which utilizes the symmetry of the layout
location and orientation, has long been used to avoid geometric
mismatch in devices; advances made by process engineers in
photolithography, such as optical proximity correction (OPC),
off-axis illumination (OAI), and phase-shift mask (PSM),
enhance the lithography resolution, which in turn reduces
the variation caused by lens aberrations. When the accuracy
requirement is particularly high (within 1%), post-fabrication
adjustments such as laser trimming [13], polysilicon fuses and
off-chip calibration [2], [3] are often employed. These solutions require expensive automatic testing equipment (ATE) or
considerable built-in self testing (BIST) overhead on chip, and
therefore are more acceptable for sensitive parts in high-end
processor chips.
Designs of process and temperature compensated ring oscillators with moderate frequency accuracy (between 1% and 10%)
have been explored in the past. One technique introduced by
Chen et al. is based on a PLL structure with external off-chip
frequency [14]. Another recently proposed technique for embedded oscillators [15] estimates the delay of each stage with
fitting parameters obtained by post-fabrication testing under different process and temperature conditions. To avoid external references or post-fabrication testing, some oscillator designs detect the direction of the variation (slow/fast) with novel circuits,
so that counter-directional correction can be applied through
tuning the control current/voltage [16]–[18] or switching the
number of delay stages [19]. More elaborate evolutions of this
idea have been proposed by [20], [21], where a process and temperature dependent control voltage is generated to bias the oscillator at a constant frequency. This is often accomplished by
approximating the control voltage as a function of the threshold
voltage and the temperature, so that specific biasing circuits can
be designed to match the approximation. With this approach,
less than 3% worst-case variation is achieved by Sundaresan
et al. [20]. However, in order to arrive at a simplified control
voltage expression as a function of temperature and to fit the
curve for all process conditions by adjusting device parameters,
the operating frequency of the compensated oscillator cannot go
beyond several MHz, making it unsuitable for high speed applications without a frequency multiplier. Another approach is
to identify the most critical determinant of the oscillation frequency and design it to be constant against changes in process
and temperature. Examples of this approach can be found in
[22] (constant current reference) and [23] (constant
bias).
The latter presents a compact design of a process compensated
two-stage ring oscillator that has 5% variation based on measurement from 15 devices. However, in order to generate the
necessary to sustain the oscillation, the core oscillator
high
and the
biasing network have to consume more than 10 mW
at 1.25 GHz.
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS
Fig. 1. Conceptual schematic of the current-starved ring oscillator.
The solution we propose in this paper falls into the category of
the last approach and is based on the design methodology introduced in [24]. Since this general methodology utilizes the local
correlation between closely-spaced devices without relying on
any specific electrical behavior of the underlying devices or variation characteristic of the fabrication process, it can be applied
to a broad design space beyond the current technology node.
Our design also does not require external reference components
or post-fabrication processing, and is therefore inexpensive and
easy to integrate. It poses no restriction on the oscillation frequency and only requires minimal power and area overhead
compared to the previous solutions.
III. DESIGN CONCEPT
Variation in a ring oscillator can be isolated to a few primary
sources. By identifying its major contributors, we gain valuable
insight into low variation oscillator design. An inverter based
ring oscillator is comprised of an odd number of stages connected in a circular manner to provide an unstable state that
leads to oscillation. In a current-starved ring oscillator (Fig. 1),
and high-to-low propagation
dethe low-to-high
lays of a single inverter stage are controlled by the current source
(sink) and can be expressed as
(1)
in which
is the source current,
is the sink current,
is
the effective load capacitance of each inverter stage, and
is
the inverter trip voltage. The source current and the sink current
. Summing up
are usually matched, so we let
the propagation delays across each stage we have the oscillation
of an N-stage current-starved ring oscillator:
period
(2)
Note that matching
and
cancels
term in the final
.
expression of
In the presence of process variation, both
and
will vary from chip to chip, resulting in additional offset
and
,
terms
and
are the nominal values, and
in which
and
are the offset deviations. In
in an N-stage
order to calculate the oscillation offset
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
ZHANG AND APSEL: LOW-POWER, PROCESS-AND-TEMPERATURE-COMPENSATED RING OSCILLATOR
ring oscillator, we have to consider the different
values at
each delay stage. After re-arranging the terms, we obtain the
expression that looks like
3
TABLE I
NOISE CONTRIBUTION IN A CURRENT-STARVED RING OSCILLATOR
(3)
We define the relative percentage variation
with its nominal mean
transform (3) into a normalized expression
by dividing
, and
(4)
and
, are the respective percentage variation of the current source and the effective loading capacitance. Being the perand are usually much
centage offsets,
smaller than one, hence we can approximate (4) by its first-order
Taylor expansion
in which
(5)
Due to the complexity of the sources of variation, there is
no comprehensive probability distribution function to fully deand , but we can make a few
scribe
simple assumptions. Since all the inverters are of the same size,
the loading effect is the same at all stages, making
identically distributed random variables. According
to their definitions,
and
are unit-less
random variables with zero means. Let their standard deviaand
respectively.
tions be
can be further separated into two parts according
to previously mentioned classification of process variation: the
perfectly correlated part which is the die-to-die (D2D) variashared by all the stages, and the independent part
tion
which is the within-die (WID) mismatch between devices. is the correlation between
and .
We can now calculate , the standard deviation of , as
(6)
provides us with several insights. For a
This expression of
large enough N, the variation caused by the within-die mismatch
between stages decreases, while the current source
and the effective capacitance die-to-die variation
variation
add directly to the frequency variation
. Furtherbetween the load capacitance
more, a positive correlation
and the bias current will reduce the overall frequency variation.
From (6), we know that the current source plays a critical role
in determining the overall frequency variation of the ring oscillator. To reduce it, we can replace the bias current source in a
current-starved ring oscillator, which is usually a single transistor, with a lower variation alternative [25]. Doing so has the
obvious benefit of avoiding a complicated overhaul of the oscillator design, but a thorough investigation on the oscillator’s
phase noise and start-up time is still needed to better understand
the potential impact of the replacement.
Let us first look at the phase noise. The ring oscillator is
known to have inferior frequency stability compared to the LC
oscillator [26]. It is important that any proposed modification
should not deteriorate its phase noise performance. To determine the noise distribution in a current starved ring oscillator,
we used PNOISE in SpectreRF to simulate the noise in the cirand
are both biased with single transistors.
cuit in Fig. 1.
The total noise at the output node A attributed to different parts
in the oscillator is presented in Table I. Not surprisingly, most
and
of the noise comes from the inverter stages, and
contribute less than 5% to the total noise. From the percentage
distribution of noise in Table I, we determine that even though
noise from the bias current sources will add to the phase noise
of the oscillator, its effect is secondary relative to the inverter
stages. Therefore, as long as the current source used to replace
the single transistor in the baseline design has comparable current noise, the phase noise performance will still be maintained.
Another performance metric of interest in low power applications is the start-up time, i.e., the time required for the oscillation to reach a stable state. To save power, the oscillator is often
duty-cycled in many low power systems and a shorter start-up
time would mean a narrower wake-up window and less power
consumption [27]. In an N-stage ring oscillator with a single current source, the bias current is shared by all the stages and at least
one stage will be sourcing (sinking) current at any point in time
during an oscillation period, therefore node N and P will stay
at a relatively constant DC level in order to sustain the current
needed for a stable oscillation. Intuitively speaking, the start-up
time will depend on how much time it takes to charge up the
capacitance of that node to the constant dc level. We employ an
empirical method to verify the effect of the load capacitance on
the start-up time, since analytical studies of the start-up time in
a current-starved ring oscillator are absent in the literature. We
ran parametric analysis in Cadence by sweeping the load capacitance value at node N and P, and obtained the start-up time by
measuring the time between turning on the current bias voltages
and the time when the oscillation reaches 90% of its stabilized
magnitude. Fig. 2 illustrates how the start-up time changes proportionally with the effective load capacitance at node N and
node P. Ideally, we would like to achieve a competitive start-up
time with our revamped ring oscillator design by limiting the
effective load capacitance at node N and node P.
To summarize, we propose designing a low variation ring oscillator by replacing the current source. Through our analysis in
this section, we find that to avoid degrading the oscillator’s original phase noise and start-up time performance, the replacement
current source should have the following characteristics: 1) low
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
4
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS
Fig. 3. Schematic of the addition-based current source.
Fig. 2. Start-up time of a ring oscillator changes with the effective load capacitance from the bias current source.
output current variation; 2) equivalent output referred current
noise; and 3) equivalent load capacitance.
The process-varying parameters in (7) are
and
. We calculate , the variation term of I, by taking partial
derivatives with respect to the process varying parameters,
. Imposing the local matching conditions
namely and
can be simplified to
IV. CIRCUIT IMPLEMENTATION
(8)
In this section, we present a low variation addition-based current source as the bias current source in the oscillator. After
elaborating on the operation of the current source, its scalability
and temperature dependence are investigated. Finally, the performance of the ring oscillator biased with the addition-based
current source is summarized and compared.
A. Current Source Topology
We choose the process-invariant addition-based current
source proposed in [24] as the bias current source, because it
has the same loading effect as a single transistor driving the
same amount of current. The circuit schematic of the addition
based current source is shown in Fig. 3. M1 and M3 are two
NFETs with the same width and length designed via a common
centroid layout to obtain good local matching, so that the drain
currents
in both transistors will change in the same way
when process conditions change. The operation of the circuit
increases due to process
can be intuitively explained: if
variation, the gate voltage of M2 will be pulled down, resulting
in a lower drain current ; similarly, if decreases, the gate
voltage of M2 goes up and gets higher. In both cases, the net
result is a stable output current I—the sum of and —which
is relatively unchanged by the process condition. The methodology introduced in [24] and extended in [28] can be applied to
obtain the optimized design parameters that ensure first order
and . To account for the
exact compensation between
short channel effect in deep submicron technologies that makes
I-V curves deviate from the familiar square law, we employ
parameter in the drain current expression (7) to model the
degree of velocity saturation. Normally, is a value between
1 and 2
(7)
to
Setting (8) equal to 0, we find the desired amount of feedback
is
(9)
This feedback can be realized with a resistor, R, as indicated
in (9), and the resistor’s nominal (i.e., mean or average) value,
, which meets the process compensation condition, is in turn
given by
(10)
, we look at the DC bias condition of
To obtain
According to KVL, it must satisfy
.
(11)
Parameters tagged with a superscript “0” represent nominal
values of that variable that are undisturbed by the process variation. During the design process, we can only choose these nominal values while realizing that final fabricated circuits will include the randomness of those parameters. In the nominal case,
in (11), because we want to bias M2 at the same
to
and
gate voltage as M1. Therefore, by equating
with their expressions in (7) and (11), we
plugging in and
solve to get
(12)
The design equations given by (10) and (12) minimize the
and greatly reduce the standard deviation of
variation term
the summation current compared to a single transistor current
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
ZHANG AND APSEL: LOW-POWER, PROCESS-AND-TEMPERATURE-COMPENSATED RING OSCILLATOR
5
TABLE II
CURRENT SOURCE (CS) SIMULATED SPECIFICATIONS COMPARISON
source with the same input gate voltage and nominal output current. The single transistor used in the comparison has a fixed
size, and the addition-based current source is sized to have the
same loading capacitance at node X in Fig. 3 through S-parameter analysis, making sure that the improved process variation
does not come at the cost of introducing additional loading effects.
In the above derivation, the effect of channel modulation
is not accounted for in the transistor model. This is justified
because process compensation is achieved by the matching of
, rather than the matching
changes in and , i.e.,
and . Difference in the drain-source
of absolute value of
voltage will not disturb this desired matching in our circuit.
Another nonideality is the resistor variation. In reality, the
resistor varies with the process and will deviate from its opticalculated in (10). Therefore, when
mized nominal value
also diverges from
the actual resistance
the condition that guarantees complete compensation derived
by (9), which results in degraded compensation performance.
A detailed investigation on the impact of resistor variation is
included in the Appendix of [24], which concludes that the
improvement in current variation exists irrespective of the
precision of the resistor. More specifically, the resistor we use
tolerance of 11% [29], which, according
in the circuit has a
to the analysis in [24], can achieve better than 2x improvement
factor.
Table II summarizes the simulated specifications of the current sources. The simulation uses IBM’s 90 nm process model.
It suggests that the addition-based current source delivers a 67%
reduction in the normalized standard deviation of its output current compared to the baseline single transistor, with a similar
amount of effective load capacitance. The additional current
noise does not present significant disturbance to the phase noise
of the oscillator. We will show the supporting simulation results
in part D of this section.
B. Current Source Scalability
Scalability is a desirable attribute for the current source when
used as the bias current reference in ring oscillators, for it allows
full integration with the digital processing circuits, which results
in improved performance, reduced power and area, and higher
oscillation frequency in newer processes.
To analyze how the addition-based current source scales as
transistor size shrinks, we use the same transistor I-V model in
and R can be modeled as
(7). Without loss of generality,
Gaussian random variables. As the technology scales, the stanare expected to increase relative
dard deviation of and
to their mean values, while the relative variation of the resistor
Fig. 4. Percentage variation of the current source changes with the percentage
variation of a single transistor and .
value R remains the same [10]. This enables us to numerically
calculate the output current variation of the addition-based circuit and compare it to that of a single transistor.
The result of the numerical simulation is presented in Fig. 4:
the x-axis is the normalized percentage variation of the output
current from a single transistor as modeled by (7), when variand
are accounted for. The y-axis
ations from both
is the normalized percentage variation of the output current in
the current source. If a single transistor is directly used as a current source, it will have a 45 degree angle, as represented by
the dashed line. Each solid line in the plot represents how the
variation of the addition-based current source changes with the
variation of the single transistor for a specific value. For
between 1.4 and 2, all the solid lines have flatter slopes than 45
degrees. This means that, given a fixed , the addition based
current source can achieve less percentage process variation in
its output current than the single transistor—an indication of the
effectiveness of the process compensation in the design.
The diamond symbols in Fig. 4 mark Cadence simulation results in 180, 90, and 65 nm technology using BSIM model characterized by real process data in IBM’s CMOS7rf, CMOS9sf
and TSMC’s N65 respectively. The plot indicates two scaling
trends: 1) increasing device-level variability in terms of normalized standard deviation, which coincides with the process variability measurements in [9], [10]; 2) more pronounced shortchannel effect captured in the model by a decreasing .
Referring to Fig. 4, these two trends have opposite effects on
the variation of the addition-based current source. Naturally, the
first trend will increase variation because as the building blocks
become less reliable, so does the circuit built upon it, which
is captured by the positive slope of the solid lines. However,
smaller will significantly decrease the variation of the addition-based current source, because our compensation is based
of the transistor. Hence, as approaches 1,
on a linearized
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
6
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS
the transistor appears more linear with an almost constant
,
which makes the process compensation applied through the resistor more accurate. The reason current variation is reduced
as we move from 180 to 90 to 65 nm is due to the fact that
the effect from the second trend dominates over the first one.
Further scaling in the direction of stronger short- channel effect
will eventually lead the variation of our addition- based current
source to fall on a solid line with a very flat slope (smaller ),
which means a constant current variation in future technology
nodes. Better performance is available through size optimization as discussed in [28], for only minimum-sized transistors
are used in the numerical analysis shown in Fig. 4.
C. Current Source Temperature Dependence
Fig. 5. Schematic of the addition-based ring oscillator.
The general methodology in [24] does not distinguish the
sources of variation when calculating the variation term. In fact,
variation caused by changes in the temperature is also compensated by the same topology, because the critical parameters that
,
vary with temperature—the mobility of the charge carriers
, and the resistance (R) are the same
the threshold voltages
variables in our assumptions when dealing with process variation. The relationship between these parameters and the temperature (T) can be approximated by
(13)
Fig. 6. Phase noise comparison between the baseline ring oscillator and the
addition- based ring oscillator.
Similar to what we have done for process variation, we can
change in
calculate the variation term of I induced by a
and in
temperature in the addition-based current source
the single transistor
of the bottom NFET current source. The effective load capacitance looking into the current source is on the order of hundreds
of fF, setting the start-up time around 100 ps.
There is only minimal additional phase noise contribution
from the addition-based current source. This is proven by the
simulated phase noise spectrum of both oscillators. Over a relative frequency from 40 kHz to 600 MHz, the spectrum plot
in Fig. 6 shows negligible phase noise difference between the
baseline ring oscillator and the addition-based ring oscillator.
At 10-MHz offset frequency, the spot phase noise is
dBc/Hz for the baseline oscillator and
dBc/Hz for the
addition-based one.
Of course, the replica branch in the addition-based current
source consumes extra power and chip area. It is well known that
to some extent, power and area can be traded for lower variation
by using a bigger device with reduced mismatch [30]. In order
to show that our improvement comes from more than simply utilizing the power-area-variation trade-off, we compare the addition-based ring oscillator with several different current-starved
ring oscillator designs that either consume the same amount of
power or occupy the same amount of layout area. All the designs
under comparison oscillate at around 2 GHz. Summarized in
Table III, the results show that even if we consume more power
to bias the transistors in deep saturation or occupy more area by
increasing the transistor sizes, it is still impossible to achieve the
level of low variation demonstrated by the addition-based ring
oscillator.
We have also investigated the frequency sensitivity of the
variation. If a resistive or
oscillator to supply voltage
(14)
In (14), the first-order
term in
has been completely cancelled, leaving only the higher order terms, while
has a
term that can cause bigger temperature shift.
This indicates that the addition-based current source compensates for temperature variation, as well as process variation.
Please note that the temperature expression in (14) is obtained
assuming nominal process conditions and does not account for
the design parameters’ deviation caused by process variation.
Detailed derivation of (14) can be found in Appendix A.
D. Current-Starved Ring Oscillator
The overall circuit schematic of the oscillator after replacing
the single transistor is shown in Fig. 5. The nominal current provided by the top PFET current source is designed to match that
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
ZHANG AND APSEL: LOW-POWER, PROCESS-AND-TEMPERATURE-COMPENSATED RING OSCILLATOR
7
TABLE III
RING OSCILLATORS (RO) SIMULATED SPECIFICATION COMPARISON
capacitive divider is used to generate the gate bias voltage for
, simulation shows
the addition-based current sources from
variation from 0.9 V to 1.1 V, the frequency
that for a 20%
varies by less than 0.35%, or a line regulation of 1.75%/V,
which is better than the supply compensation demonstrated in
variation is included
[15], [20]. A detailed analysis of
in Appendix B. For applications that require more stringent
line regulation, a bandgap reference, LDO, or other standard
voltage regulating technique can be easily integrated with our
oscillator, as the flexibility of the design does not preclude use
of additional compensation methods.
Since the addition-based current source is optimized at a fixed
gate voltage, it is not recommended to tune the oscillation frequency by directly controlling the gate bias. However, in applications where frequency tuning is desired, such as in a PLL, our
addition-based current source can be used as the offset current
bias to establish a stable offset frequency. Additional voltagecontrolled or digitally-controlled current arrays can then be connected in parallel with the offset current bias to achieve frequency tunability.
V. MEASUREMENT RESULTS
The addition-based current source and the addition-based
ring oscillator, as well as their comparable baseline designs,
have been fabricated in IBM’s 90 nm CMOS9sf process and
measured on multiple chips in two different lots over a wide
temperature range. The supply voltage used in all the testing is
1 V. The measurement setup and the circuit performances are
covered in this section.
A. Current Source Comparison
We measured addition-based current sources from two wafer
runs in different lots. We also fabricated the baseline single transistor in the same 90 nm process with the same output current,
load capacitance, and gate voltage. The measurement is taken
from 96 chips in total, out of which, 39 are from the first wafer
run, and the remaining 57 belongs to the second wafer run. Each
batch represents a full set of chips from the multi-project wafer
run. The histograms in Fig. 7 compare the measured results by
, the standard deviation
, and the norshowing the mean
. It can be observed from the
malized standard deviation
histograms that shifts less from wafer to wafer in our addition based current source than in the single transistor, indicating
Fig. 7. Histograms of the output current spread in (a) a single transistor and (b)
the addition-based current source.
lower wafer-to-wafer variation. Within the same wafer run, the
spread of current is less in the addition-based current source,
indicating lower die-to-die variation. The combination of these
two effects reduces the total process variation by 53.2%.
For characterization over temperature, we randomly select
one chip and measure its current using a probe station equipped
with a vacuum chamber. We are able to cover a temperature
range from 200 to 400 K using liquid hydrogen and an electrical heater. The temperature variation of the output current is
, in which
is the current value
defined as
is the difference beat room temperature (300 K), and
. In
tween I(T), the current value at temperature T, and
Fig. 8, with no compensation, the single transistor drain current
varies as much as 12%, while the addition-based current source
experiences only minor variation of 1.8%, or 90 ppm/ C. This
puts our addition-based current source among the best-in-class
temperature compensated current [31] without post-fabrication
calibration.
B. Ring Oscillator Comparison
We also fabricated the ring oscillators in two separate 90 nm
wafer runs from different lots. We compare the performance of
the ring oscillator biased with addition-based current sources
to that of a baseline current-starved ring oscillator biased with
single transistor current sources. The histograms of their output
frequencies are plotted in Fig. 9. The measurements are taken
from 167 test chips, 112 of which are from the first wafer run
and 55 of which are from the second wafer run. The difference
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
8
Fig. 8. Percentage variation of the output currents over temperature.
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS
Fig. 10. Percentage variation of the output frequencies over temperature.
Fig. 11. Die photo of the ring oscillator chip.
Fig. 9. Histograms of the output frequency spread in (a) the baseline currentstarved ring oscillator and (b) the addition-based ring oscillator.
of histogram magnitudes between the wafer runs is due to the
different number of chips in each run. Similar wafer-to-wafer
and die-to-die process variation improvement can be seen from
the histograms, and an overall reduction of 65.1% in the normalized standard deviation, defined as the standard deviation of
output frequency over its mean, is achieved.
An insulated, but not vacuumed, chamber is used to measure
the temperature dependence of the oscillators, which has a narrower range from 280 to 335 K. The temperature variation of the
, in which
is
output frequency is defined as
the frequency value at room temperature (306 K), and
is the difference between f(T), the frequency value at temper. The temperatures reported in Fig. 10 are
ature T, and
the ambient temperatures measured in the proximity of the chip
under test. Over the temperature range of 55 degrees, the frequency of the baseline ring oscillator varies by 1.5%, or 312
ppm/ C, while the addition-based ring oscillator experiences a
0.47% variation, or 85 ppm/ C.
The 1.8 GHz addition-based ring oscillator dissipates 87 W
power on average and occupies 0.0128 mm , while the baseline
ring oscillator consumes 54 W and occupies 0.010 mm , both
including the output driver and the ESD diodes to protect the
gates. A die photo of the addition based ring oscillator, as well as
the baseline current-starved ring oscillator, is shown in Fig. 11.
Table IV summarizes and compares several specifications of
the baseline and the addition-based ring oscillators described
in this work, as well as the oscillators reported in the literature. Compared to other works, our proposed addition-based
ring oscillator exhibits the lowest temperature sensitivity and
comparable low process variation, which is supported by larger
number of chip measurements from different lots. Operated at
1.8 GHz with a 1-V voltage supply, it consumes the least amount
of power, except for [22] which oscillates around 80 kHz, and
occupies small chip area, even after including ESD and output
drivers.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
ZHANG AND APSEL: LOW-POWER, PROCESS-AND-TEMPERATURE-COMPENSATED RING OSCILLATOR
9
TABLE IV
RING OSCILLATORS (RO) SPECIFICATION COMPARISON WITH REFERENCE
VI. CONCLUSION
We have demonstrated a fully integrated, scalable, low
power, process-and-temperature-compensated ring oscillator,
which does not require any post-fabrication trimming or calibration. The improved frequency accuracy of the ring oscillator
is achieved through replacing the single transistor in a conventional current-starved ring oscillator with a process and
temperature invariant addition-based current source that is
able to scale beyond the current submicron technology node.
Measurement results from 167 chips show a 65.1% reduction
of the frequency process variation and 85 ppm/ C temperature
stability in the proposed ring oscillators. The calibration-free,
low-power, CMOS-compatible, compact, and high-frequency
design of our ring oscillator makes it a potential candidate in a
number of low-cost, low-power RF applications.
APPENDIX A
DERIVATION OF TEMPERATURE DEPENDENCE IN THE
ADDITION-BASED CURRENT SOURCE
In this Appendix, we perform the step-by-step calculation of
the percentage change of the output current with temperature in
both the single transistor and the addition-based current source.
be the variation term
For single transistor, let
and
when the transistor experiences disturbance in process
in temperature
away from their nominal value
and
. Taking into account the change in mobility and threshold
voltage described by (13), we simplify the first-order partial
derivative term relative to the nominal current value
as follows:
(A1)
The first two terms in (A1) are the result of process variation,
while the last term is caused by the temperature fluctuation. The
discussion here only deals with temperature variation, so we
assume the process condition stays at the nominal corner, i.e.,
, meaning
, and
.
Similarly, we define
as the variation term of
the addition-based current source. Since the derivation of the
fully cancels the variation regardless of the
ideal
can be calculated by the product
cause of
of the transconductance of the
and the difference between
and
, which is the actual
the ideal
compensation bias voltage generated giving by (9)
(A2)
Notice that at the nominal process corner, the resistor value
equals
(A3)
With both (A2) and (A3), we now can calculate the complete
expression of
(A4)
The reason we assume M1 and M2 have the same gate voltage
here is because under the nominal process condition, the design
parameters and R are selected to guarantee
.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
10
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS
We need a few short-hands to carry out further calculation.
Recognize that
(A5)
Plug (A5) into (A4), we have
Fig. 12. Bias current (I
) from the addition-based current source and the
output frequency (f ) of the addition-based ring oscillator change with the
supply voltage (V ).
(A6)
(A9)
The term in the first bracket of (A6) has been calculated in
(A1), as the case when
. Plugging (A1) with
and
rearranging the terms in the second bracket give us
APPENDIX B
VARIATION IN THE ADDITION-BASED RING OSCILLATOR
(A7)
Here, to facilitate our calculation, we first work out a few
useful terms regarding
and
In the current-starved ring oscillator,
is a function of
and
, as depicted by (2). Note also
provided
both
by the addition-based current source is a function of gate voltage
according to (7). Suppose we generate
by dividing
through a resistive or capacitive divider. Let us assume
is the constant ratio between the gate bias voltage and the power
. According to (12), we can derive the
supply, i.e.,
, and
expression for as a function of
(B1)
(A8)
Finally, plug the expressions in (A8) to (A7), and we arrive
at our temperature variation expression for the addition-based
current source under the nominal process condition
For a specific CMOS technology,
, and are fixed,
. By setting
,
so is determined entirely by
in which is chosen to satisfy (B1), we simulate the output
by sweeping
current of the addition-based current source
the supply voltage from 0.9 V to 1.1 V. From the plot of
in Fig. 12, we can see there is a linear relation between
and
. Now that
and
change in the same direction
(or its inverse
) is relatively unaffected
proportionally,
by the change in supply voltage. It is clearly shown in the output
plot in Fig. 12 that the first order dependence
frequency
and
has been cancelled. Over a supply range
between
varies by 6.5 MHz, or less than 0.34%
from 0.9 V to 1.1 V,
of its nominal value at
V.
ACKNOWLEDGMENT
The authors would like to acknowledge R. Dokania, X. Wang,
M. Mudadam, and B. Xiang for helpful discussions on the cir-
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.
ZHANG AND APSEL: LOW-POWER, PROCESS-AND-TEMPERATURE-COMPENSATED RING OSCILLATOR
cuit analysis and the measurement setup. They also greatly appreciate the TSMC University Shuttle Program for their support.
REFERENCES
[1] B. P. Das, B. Amrutur, H. S. Jamadagni, N. V. Arvind, and V. Visvanathan, “Within-die gate delay variability measurement using reconfigurable ring oscillator,” IEEE Trans. Semicond. Manuf., vol. 22, no.
2, pp. 256–267, May 2009.
[2] F. Sebastiano, L. J. Breems, K. Makinwa, S. Drago, D. Leenaerts,
and B. Nauta, “A low-voltage mobility-based frequency reference for
crystal-less ULP radios,” IEEE J. Solid-State Circuits, vol. 44, no. 7,
pp. 2002–2009, Jul. 2009.
[3] N. M. Pletcher, S. Gambini, and J. Rabaey, “A 52 W wake-up receiver
with 72 dBm sensitivity using an uncertain-IF architecture,” IEEE J.
Solid-State Circuits, vol. 44, no. 1, pp. 269–280, Jan. 2009.
[4] J.-Y. Chen, M. P. Flynn, and J. P. Hayes, “A fully integrated autocalibrated super-regenerative receiver in 0.13- m CMOS,” IEEE J.
Solid-State Circuits, vol. 42, no. 9, pp. 1976–1985, Sep. 2007.
[5] K.-Y. Lee, S.-W. Lee, Y. Koo, H.-K. Huh, H.-Y. Nam, J.-W. Lee, J.
Park, K. Lee, D.-K. Jeong, and W. Kim, “Full-CMOS 2-GHz WCDMA
direct conversion transmitter and receiver,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 43–53, Jan. 2003.
[6] K. R. Lakshmikumar, “Analog PLL design with ring oscillators at lowgigahertz frequencies in nanometer CMOS: Challenges and solutions,”
IEEE Trans. Circuits Syst. II: Exp. Briefs, vol. 56, no. 5, pp. 389–393,
May 2009.
[7] M. Crepaldi, C. Li, K. Dronson, J. Fernandes, and P. Kinget, “An
ultra-low-power interference-robust IR-UWB transceiver chipset using
self-synchronizing OOK modulation,” in Proc. IEEE Int. Solid-State
Circuits Conf. Dig. Tech. Papers (ISSCC), 2010, pp. 226–227.
[8] M. Nekili, Y. Savaria, and G. Bois, “Spatial characterization of process
variations via MOS transistor time constants in VLSI and WSI,” IEEE
J. Solid-State Circuits, vol. 34, no. 1, pp. 80–84, Jan. 1999.
[9] L.-T. Pang, K. Qian, C. J. Spanos, and B. Nikolic, “Measurement and
analysis of variability in 45 nm strained-Si CMOS technology,” IEEE
J. Solid-State Circuits, vol. 44, no. 8, pp. 2233–2243, Aug. 2009.
[10] L.-T. Pang and B. Nikolic, “Measurements and analysis of process variability in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 5,
pp. 1655–1663, May 2009.
[11] C. Gallon, G. Reimbold, G. Ghibaudo, R. A. Bianchi, R. Gwoziecki, S.
Orain, E. Robilliart, C. Raynaud, and H. Dansas, “Electrical analysis
of mechanical stress induced by STI in short MOSFETs using externally applied stress,” IEEE Trans. Electron Devices, vol. 51, no. 8, pp.
1254–1261, Aug. 2004.
[12] K. A. Bowman, A. R. Alameldeen, S. T. Srinivasan, and C. B. Wilkerson, “Impact of die-to-die and within-die parameter variations on
the clock frequency and throughput of multi-core processors,” IEEE
Trans. Very Large Scale Integration (VLSI) Syst., vol. 17, no. 12, pp.
1679–1690, Dec. 2009.
[13] M. S. McCorquodale, G. A. Carichner, J. D. O’Day, S. M. Pernia, S.
Kubba, E. D. Marsman, J. J. Kuhn, and R. B. Brown, “A 25-MHz selfreferenced solid-state frequency source suitable for XO-replacement,”
IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 5, pp. 943–956,
May 2009.
[14] H. Chen, E. Lee, and R. Geiger, “A 2 GHz VCO with process and temperature compensation,” in Proc. IEEE Int. Symp. Circuits and Systems
(ISCAS’99), 1999, vol. 2, pp. 569–572.
[15] C.-Y. Yu, J.-Y. Yu, and C.-Y. Lee, “An eCrystal oscillator with selfcalibration capability,” in Proc. IEEE Int. Symp. Circuits and Systems
(ISCAS), 2009, pp. 237–240.
[16] J. J. Jelinek and J. Deng, “Voltage Controlled Oscillator With Efficient
Process Compensation,” U. S. Patent 5331295, Jul. 19, 1994.
[17] R. R. Rasmussen, “Process Compensation Method for CMOS Current
Controlled Ring Oscillators,” U. S. Patent 5905412, May 18, 1999.
[18] Y.-S. Shyu and J.-C. Wu, “A process and temperature compensated
ring oscillator,” in Proc. 1st IEEE Asia Pacific Conf., 1999, pp.
283–286.
[19] J. Routama, K. Koli, and K. Halonen, “A novel ring-oscillator with a
very small process and temperature variation,” in Proc. IEEE Int. Symp.
Circuits and Systems (ISCAS’98), 1998, vol. 1, pp. 181–184.
[20] K. Sundaresan, K. C. Brouse, K. U-Yen, F. Ayazi, and P. E. Allen, “A
7-MHz process, temperature and supply compensated clock oscillator
in 0.25 μm CMOS,” in Proc. 2003 Int. Symp. Circuits and Systems
(ISCAS’03), 2003, vol. 1, pp. I-693–I-696.
11
[21] R. Vijayaraghavan, S. K. Islam, M. R. Haider, and L. Zuo, “Wideband
injection-locked frequency divider based on a process and temperature
compensated ring oscillator,” IET Circuits, Devices & Syst., vol. 3, pp.
259–267, 2009.
[22] G. De Vita, F. Marraccini, and G. Iannaccone, “Low-voltage
low-power CMOS oscillator with low temperature and process sensitivity,” in Proc. IEEE Int. Symp. Circuits and Systems (ISCAS 2007),
2007, pp. 2152–2155.
[23] K. R. Lakshmikumar, V. Mukundagiri, and S. L. J. Gierkink, “A
process and temperature compensated two-stage ring oscillator,” in
Proc. IEEE Custom Integrated Circuits Conf. (CICC’07), 2007, pp.
691–694.
[24] A. M. Pappu, X. Zhang, A. V. Harrison, and A. B. Apsel, “Processinvariant current source design: Methodology and examples,” IEEE J.
Solid-State Circuits, vol. 42, no. 10, pp. 2293–2302, Oct. 2007.
[25] X. Zhang and A. B. Apsel, “A low variation GHz ring oscillator with
addition-based current source,” in Proc. ESSCIRC, 2009, pp. 216–219.
[26] A. Hajimiri, S. Limotyrakis, and T. H. Lee, “Jitter and phase noise
in ring oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp.
790–804, Jun. 1999.
[27] B. P. Otis, Y. H. Chee, R. Lu, N. M. Pletcher, and J. M. Rabaey,
“An ultra-low power MEMS-based two-channel transceiver for wireless sensor networks,” in Dig. Tech. Papers, Symp. VLSI Circuits, ,
2004, pp. 20–23.
[28] X. Zhang, A. M. Pappu, and A. B. Apsel, “Low variation current source
for 90 nm CMOS,” in Proc. IEEE Symp. Circuits and Systems (ISCAS
2008), 2008, pp. 388–391.
[29] CMOS 9SF (CMOS9SF) Technology Design Manual. : IBM confidential, Jun. 19, 2008.
[30] P. R. Kinget, “Device mismatch and tradeoffs in the design of analog
circuits,” IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 1212–1224,
Jun. 2005.
[31] F. Fiori and P. S. Crovetti, “A new compact temperature-compensated
CMOS current reference,” IEEE Trans. Circuits Syst. II: Exp. Briefs,
vol. 52, no. 11, pp. 724–728, Nov. 2005.
Xuan Zhang (S’08) received the B.Eng. degree from
Tsinghua University, Beijing, China. She is currently
pursuing the Ph.D. degree at Cornell University,
Ithaca, NY.
Her research interest includes process-voltagetemperature (PVT) independent circuit design and
variability-tolerant system analysis and optimization.
She is the recipient of an Intel Ph.D. Fellowship in
2008. In the summers of 2008 and 2009, she was an
intern at Broadcom Central Engineering Center and
Schlumberger Research Center, respectively, where
she worked on reference buffer design and wireline communication system
prototyping.
Alyssa Apsel (M’98) received the B.S. degree from
Swarthmore College, Swarthmore, PA, in 1995 and
the Ph.D. degree from Johns Hopkins University,
Baltimore, MD, in 2002.
She joined Cornell University, Ithaca, NY, in
2002, where she is currently an Associate Professor
of Electrical and Computer Engineering. The focus
of her research is on power-aware mixed signal
circuits and solving the problems that arise in highly
scaled CMOS and modern electronic systems. She
has authored or coauthored over 75 refereed publications in related fields of RF mixed-signal circuit design, interconnect design
and planning, photonic integration with VLSI, and circuit design techniques in
the presence of variation, resulting in five patents and several pending patent
applications.
Dr. Apsel received a Best Paper award at ASYNC 2006, a College Teaching
award in 2007, and a National Science Foundation CAREER Award in 2004.
She was the author of a MICRO “Top Picks” paper in 2006, and was selected by
Technology Review Magazine as one of the Top 100 Young Innovators in 2004.
She has also served as an Associate Editor of various journals, including the
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I and IEEE TRANSACTIONS
ON CIRCUITS AND SYSTEMS II and is the Chair of the Analog and Signal Processing Technical Committee of ISCAS 2011.