Download Chapter 3 Etching

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

Strangeness production wikipedia , lookup

State of matter wikipedia , lookup

Plasma (physics) wikipedia , lookup

Transcript
Chapter 3
UEEP2613
Microelectronic Fabrication
Etching
Prepared by
Dr. Lim Soo King
24 Jun 2012
Chapter 3 ............................................................................................83
Etching ................................................................................................83
3.0 Introduction .............................................................................................. 83
3.1 Basic Theory of Etching .......................................................................... 84
3.2 Wet Etch .................................................................................................... 86
3.2.1 Oxide Etch ......................................................................................................... 88
3.2.2 Silicon and Polysilicon Etch ............................................................................. 88
3.2.3 Photoresist Etch ................................................................................................ 88
3.2.4 Silicon Nitride Etch ........................................................................................... 89
3.2.5 Metal Etch.......................................................................................................... 89
3.3 Dry Etch .................................................................................................... 89
3.3.1 Plasma Etching Mechanism ............................................................................. 94
3.3.1.1 Chemical Etching .......................................................................................................... 94
3.3.1.2 Physical Etching ............................................................................................................ 96
3.3.1.3 Ion Enhanced Etching .................................................................................................. 97
3.3.2 Type of Plasma Etching Systems ..................................................................... 98
3.3.2.1 Barrel Etching System .................................................................................................. 99
3.3.2.2 Magnetic Enhanced and Confinement Plasma Etching System ............................. 100
3.3.2.3 Electron Cyclotron Resonance Plasma Etching System .......................................... 102
3.3.2.4 Inductively Coupled and Helicon Wave RF Plasma Etching Systems ................... 103
3.3.3 Plasma Etching for Various Films................................................................. 105
3.3.3.1 Plasma Etching Silicon Dioxide ................................................................................. 108
3.3.3.2 Plasma Etching Polysilicon ........................................................................................ 108
3.3.3.3 Plasma Etching Aluminum ........................................................................................ 108
Exercises ........................................................................................................ 109
Bibliography ................................................................................................. 112
-i-
Figure 3.1: Illustration of the different etch profile between plasma and wet etching ........... 84
Figure 3.2: Illustration of etch bias and over etch .................................................................. 86
Figure 3.3: Illustration of etching profile caused by mask erosion. The dotted line shows
the outline of the mask after etch ..................................................................... 87
Figure 3.4: Illustration of the titanium, silicidation, and wet etch ..................................... 89
Figure 3.5: Schematic diagram of RF power plasma system ............................................. 91
Figure 3.6: Voltage distribution in RF powered plasma etch system................................. 92
Figure 3.7: Typical chemical reactions and species present in plasma .............................. 93
Figure 3.8: Chemical reaction of radical species with substrate material .......................... 95
Figure 3.9: Fluxes of specifies in plasma etch. (a) Neutral radical chemical etching and (b)
positive ion physical etching ............................................................................ 96
Figure 3.10: Rate of etching of silicon illustrating synergic effect of ion enhanced etching
.......................................................................................................................... 97
Figure 3.11: Inhibitor prohibits lateral physical etch ........................................................... 98
Figure 3.12: Comparison of ion energy and operating pressure ranges for different types of
plasma systems................................................................................................. 99
Figure 3.13: Schematic of a barrel plasma etching system showing (a) side view and (b)
cross sectional view ....................................................................................... 100
Figure 3.14: Schematic of magnetic-enhanced reactive ion etching system with a timeaverage magnetic field applied parallel to the cathode .................................. 100
Figure 3.15: Schematic of magnetic-confinement reactive ion etching system with a multipolar magnetic bucket surrounding the etching chamber .............................. 101
Figure 3.16: Schematic of an electron cyclotron resonance etching system ...................... 103
Figure 3.17: Illustration of an inductively coupled plasma etching system with PMT
MORI® source................................................................................................ 104
Figure 3.18: Schematic of a helicon wave plasma etching system with a double-loop
antenna ........................................................................................................... 105
Figure 3.19: Boiling points of some typical etch byproducts ............................................. 106
Figure 3.20: Common etchant types for plasma etching film ............................................ 107
- ii -
Chapter 3
Etching
_____________________________________________
3.0 Introduction
Microelectronic devices are built from a number of different sequentially
fabrication processes. After lithography process described in the earlier chapter,
whereby the desired pattern is transferred, is etching process. In the era of ULSI
integrated circuit manufacturing, the etching process grows more important for
fabricating features with sub-micron dimensions.
In the early 1970s, lithography with Novolak-based resists was used to
pattern devices with dimensions less than three micrometers. Oxygen plasma
was explored for stripping of resist. The needs to maintain dimensional
tolerance during etching became a critical requirement that led to numerous
advances in plasma etch processing and improved reactors during the 1980s.
Today, there is a broad base of empirical knowledge and some qualitative
understanding of etch mechanisms. These insights and systematic experiments
led to the process developments needed to meet the requirements of today's submicron device fabrication.
Etch techniques consist of dry and wet etch methods. Dry etch methods
include plasma etching, sputtering, ion beam etching, and reactive ion beam
etching. For the chapter, plasma dry etch method will be discussed in details
because they are the most popular method used in semiconductor industry. The
chemistry and parameters of wet etching method used in the microelectronics
industry will be discussed to a certain extend.
Figure 3.1 illustrates the different results of dry etch and wet etch. As
shown in Fig. 3.1(a) and Fig. 3.1(c), dry etch is basically the anisotropic etch
whereby the rate of vertical etch is much higher than lateral etch. Wet etch
technique would result in isotropic etch in Fig. 3.1(b) and Fig. 3.1(d), whereby
the rate of vertical etch and the rate of lateral etch are comparable.
- 83 -
03 Etching
(a)
(b)
(c)
(d)
Figure 3.1: Illustration of the different etch profile between plasma and wet etching
3.1 Basic Theory of Etching
Rate of etching is a measure of how fast the material being removed in etching
process. It is an important characteristic of the process since it directly affects
the throughput of the etching process. The rate of etch can be calculated by
measuring the film thickness before and after the etch process and dividing the
thickness difference by etch time. Thus, the rate of etch is equal to
Rate of etch 
Thickness before etch - Thickness after etch
Etch time
(3.1)
For pattern etch, the rate of etch can be determined by scanning electron
microscope SEM measurement, which can directly measure the removed rate of
film thickness.
It is very important to have uniform etch rate across the wafer or good
within wafer WIW uniformity, high repeatability, or good wafer-to-wafer
- 84 -
03 Etching
uniformity WTW. Normally the uniformity is measured with wafer thickness by
mapping the thickness of certain points before and after etch process, and
calculate the rates of etch at these points. The average  and standard deviation
 of the rate of etch are then determined. From the data, the standard deviation
non-uniformity NU is calculated using equation (3.2).
NU = /x100%
(3.2)
Another parameter called max-minus-min non-uniformity NUM is determined
using equation (3.3).
NUM 
Maximum rate of etch - Minimum rate of etch
x100%
2
(3.3)
Etching can be very selective especially wet etch because it depends on the
chemistry. The limiting step in most etch process is usually the chemical
reaction in which the etch species react with the film forming soluble byproduct.
The selectivity S of an etch process between two material 1 and 2 is simply the
ratio of their etch rates in the etchant, which follows equation (3.4).
S
r1
r2
(3.4)
Material 1 is usually the film being etched and material 2 is either the masking
material such as photoresist and silicon dioxide SiO2 or material below the film.
Take for example, etching polysilicon of the gate, the photoresist acts as
etch mask. Thus, it is necessary to have high polysilicon-to-photoresist
selectivity particularly the plasma-etch process to prevent excessive etching of
photoresist before the etching process is completed.
Buffering agent is often added to the etching solution to keep the etchant at
maximum strength over use and time. For example, ammonium fluoride NH4F
is added to hydrofluoric acid HF to help preventing depletion of the fluoride ion
in the oxide etching. This is called “buffered HF” or BHF or more commonly is
called “buffered oxide etch” or BOE. The addition of ammonium fluoride NH4F
also reduces the rate of etching for photoresist and minimizing lifting of
photoresist during oxide etching. Acetic acid CH3COOH is often added to nitric
acid/hydrofluoric acid during silicon etch to limit the dissociation of nitric acid.
- 85 -
03 Etching
3.2 Wet Etch
The first etchant used in the integrated circuit industry was simple wet chemical
etchant. Wet etchant works as chemical process and is not physical process. By
immersing the wafer into the bath of liquid chemical, the exposed film can be
etched away leaving un-etched region of the film that is masked with
photoresist or other films.
Most chemical wet etch is isotropically. The exception is the one which is
sensitive to crystallographic orientation. Some etchants etch much slower in the
<111> direction in crystalline silicon as compared to the other direction.
However, most of the commonly wet etch is usually equal in all directions.
Figure 3.2 shows the amount of undercutting which is called the etch bias. One
assumes that the selectivity of the etching with respect to both the mask and the
substrate is infinity that is no etch-away at all. The etch thickness or depth is d
and the bias is b. For perfect isotropic etching b is equal to d. It is true only if
the etching is stopped before reaching the bottom.
(a) Etch bias
(b) Over etch
Figure 3.2: Illustration of etch bias and over etch
Over etch is always exist. It is due to thickness of film is not uniform. It is the
standard operating procedure in microelectronic industry that over etching is
allowed to ensure film is completely etch-away. The amount of over etch is
usually measured in terms of time or % time. It can be determined by estimating
the uncertainty in etch rate and in the non-uniformity of the thickness and then
calculating the worst case etch time needed. Ten to twenty percent over etch is
common.
Since over etch is unavoidable, it is important that the selectivity with
respect to the layer below be as high as possible or else some etching of the
below layer will occur during over etch.
- 86 -
03 Etching
Etch rate of mask such as photoresist is always there. Figure 3.3(a) shows
the isotropic etch with a rectangular shaped mask. m is the amount of mask
etched in all directions. This is called mask erosion. For the case of anisotropic
etch, as long the mask is a perfectly rectangular shape, etching will occur only
on the top of the mask. For the case as shown in Fig. 3.3(b), mask erosion
leading to extra undercut can happen even through it is completely anisotropic
etch.
(a) Ideal rectangular mask isotropic etch
(b) Sloped mask anisotropic etch
Figure 3.3: Illustration of etching profile caused by mask erosion. The dotted line shows the
outline of the mask after etch
The degree of anisotropy of a film Af is defined as
A f 1
rlat
rver
(3.5)
where rlat and rver are lateral etch rate and vertical etch rate respectively. The
degree of anisotropy of a film Af can also be defined based on the depth of etch
and thickness of undercut, which is
A f 1
b
d
(3.6)
Obviously for isotropic etch, the degree of anisotropy is zero since b is equal to
d. For the complete etch to the bottom, d is equal to thickness of film, which is
xf then degree of anisotropy is equal to
A f 1
b
xf
(3.7)
- 87 -
03 Etching
3.2.1 Oxide Etch
Silicon dioxide SiO2 is usually removed by aqueous hydrofluoric acid HF with
or without adding of ammonium fluoride NH4F as buffered HF.
SiO2 + 6HF  H2SiF6 + 2H2O
(3.8)
H2SiF6 + 2H2O  SiF4 + 2HF
(3.9)
The byproduct H2SiF6 is a water soluble complex which can be removed by
cleaning. Hydrofluoric acid is normally diluted with water or ammonium
fluoride to control the pH value and to slow down the oxide etching process by
controlling the amount of fluoride. A 6:1 buffered solution of HF or 10:1, and
100:1 in water are commonly used.
3.2.2 Silicon and Polysilicon Etch
For the case of isotropically etching silicon Si, the common etchant is the
mixture of nitric acid HNO3 and hydrofluoric acid HF. The etching occurs by
oxidizing the surface of the silicon to form silicon dioxide SiO2 by partially
decomposed nitric acid into nitrogen dioxide NO2 following the chemical
equation (3.7).
Si + 2NO2 + 2H2O  SiO2 + H2 + 2HNO2
(3.10)
The hydrofluoric acid HF then dissolves the silicon dioxide SiO2 by reaction
given in equation (3.11).
2SiO2 + 6HF  H2SiF6 + 2H2O
(3.11)
Mixture of potassium hydroxide KOH, isopropyl alcohol CH3H8OH and water
H2O can etch selectively to different orientation of single crystal silicon. With
23.4 wt% potassium hydroxide KOH, 13.3 wt% C3H8OH, and 63.3 wt% H2O at
80 to 820C, the rate of etch along (100) plane is about 100X higher than along
(111) plane.
3.2.3 Photoresist Etch
The photoresist can be removed by sulfuric acid H2SO4 and hydrogen peroxide
H2O2 for wafer without metal. This is because sulfuric acid will etch metal
away. For wafer with metal, organic etchant is used.
- 88 -
03 Etching
3.2.4 Silicon Nitride Etch
Silicon nitride is widely used for isolation formation process. Hot phosphoric
acid H3PO4 is most commonly used to etch silicon nitride. At 1800C with 91.5%
H3PO4 concentration, the silicon nitride etch rate is about 10nm/min. Silicon
nitride etch process has a very good selectivity to thermally grown silicon
dioxide, which greater than 10:1 and to silicon is greater than 33:1. Increasing
the concentration of H3PO4 to 94.5% and temperature to 2000C, increases rate of
etch to 20nm/min. However, the selectivity to silicon dioxide reduces to about
5:1, while the selectivity to silicon reduces to around 20:1.
3.2.5 Metal Etch
Metal such as aluminum can be etched with a wide variety of acidic
formulation. One of the most commonly used etchant is the mixture of 80%
phosphoric acid H3PO4, 5% acetic acid CH3COOH, 5% nitric acid HNO3 and
10% water. The rate of etching of pure aluminum is about 30nm/min at
temperature 450C. The mechanism of aluminum etching is similar to etching of
silicon. Nitric acid oxidizes aluminum to alumina Al2O3 and phosphoric acid
H3PO4 dissolves Al2O3.
In the titanium silicide process, the extra titanium is usually wet etch away
with 1:1 mixture of hydrogen peroxide and sulfuric acid. Hydrogen peroxide
will oxidize titanium to titanium oxide TiO2 then titanium oxide reacts with
sulfuric acid to form soluble titanium sulphate TiSO4 and water. The
illustrations of the processes of titanium deposition, silicidation, and wet etch
are shown in Fig. 3.4.
(a) Titanium deposition
(b) Silicidation annealing
(c) Titanium wet etch
Figure 3.4: Illustration of the titanium, silicidation, and wet etch
3.3 Dry Etch
Dry etch or plasma etch uses gaseous chemical etchant to react with the material
to be etched to form volatile byproduct that will be removed from the substrate
- 89 -
03 Etching
surface. Plasma generates chemically reactive free radical that significantly
increases the rate of chemical reaction and enhances chemical etching process.
Plasma also causes ion bombardment of wafer surface. Ion bombardment of the
wafer surface both physically removes materials from the surface and breaks the
chemical bonds between atoms on the surface, which significantly accelerates
the chemical reaction rate for the etch process.
There are two major reasons why dry etch is used. In the etching of silicon
nitride, the wet etch process is very slow and not selective with respect to
silicon dioxide. Moreover, the hydrofluoric acid HF etchant often causes lift off
problem to photoresist masking. Owing to this reason, silicon dioxide SiO2
mask has to be used.
The dry etch allows directional or anisotropic etching. Directional etching
is needed to minimize under etching and etching bias, which allows smaller and
more tightly packed structure to be fabricated. The directional etch is due to the
presence of ionic species in the plasma and electric field that directs them
normal to the surface of wafer.
Plasma is a partially ionized gas. It is free electron colliding with neutral
atoms/molecules and through a dissociative process. It can remove one electron
from the atom/molecule, which gives a net of two electrons and one ion.
Depending on the energy of the incoming electron, this collision can result also
in other species, such as negative ions due to electron association, excited
molecules, neutral atom and ion. The light emitted by the plasma is due to the
return of excited electrons to their ground state. As the energy between the
electron states is well defined for each element, each gas will emit light at
specific wavelength that allows analysis of plasma.
Plasma etch system can be designed either to be reactive chemical
components or ionic component dominant. In many cases, a combination of
ionic and reactive chemical species usually acting in a synergistic manner is
utilized. The net etch rate can be much faster than the sum of individual etch
rates when each reacting alone. Another advantage is that it is not only
directional type of etching. It can maintain an acceptable degree of selectivity.
Most reactant gases for plasma etching contain halogen. Generally chlorine
Cl and fluorine F, and sometimes bromine Br. Free radicals of these species can
be easily produced in plasma which can efficiently etch many film types, and
produce volatile etched products.
- 90 -
03 Etching
The exact choice of reactant gases to etch a specific film type depends on a
number of factors, which are etching selectivity to underlying film, anisotropic
etching, and volatility of the main etched byproducts.
A basic capacitive coupled RF plasma etching system is shown in Fig. 3.5.
This system is similar to plasma enhanced chemical vapor deposition PECVD
and radio frequency RF sputtering systems. A low pressure about 1 mtorr to 1
torr of gas is used in the chamber. By applying a high electrical field across two
electrodes, some of the gas atoms are ionized producing positive ions and free
electrons and creating plasma. The energy is supplied by an RF generator
usually operating at 13.56MHz. A voltage bias would develop between the
plasma and the electrodes due to difference in mobility of the electrons and the
ions.
Figure 3.5: Schematic diagram of RF power plasma system
At frequencies between 1.0MHz and 100.0MHz, the free electron is able to
follow the variation of the applied electric field. Unless the electron suffers
collision, it can gain considerable energy in the order of few hundred electron
volts. On the other hand, in this frequency range, the positive ion has very little
influenced by the electric field. Its energy mainly comes from the temperature
of the environment and it is in the order of a few hundredths of an electron volt
i.e. ~0.01eV.
- 91 -
03 Etching
Initially, the high mobility electrons are lost to the electrodes at a faster rate
than the slower ions. This results in the plasma being biased positively with
respect to the electrode.
For symmetric RF plasma system, with two electrodes of equal area, the
voltage distribution that develops is shown in by the solid curve in Fig. 3.6.
Figure 3.6: Voltage distribution in RF powered plasma etch system
The sheath is considered as dielectric or a capacitor formed to slow down the
electron loss due to initial loss of electron at the beginning of few cycles. It has
created a net negative voltage near the electrode. The RF voltage becomes
superimposing on this negative dc voltage. As the result, the average current to
the electrode is zero. The heavy ions respond to the average sheath voltage and
the light electron responds to the instantaneous voltage. However, due to the
self biasing, they only cross the sheath during a short period per cycle when
sheath voltage and thickness are near their minimum. During most of RF cycle
the electrons are turned back at the sheath edge, resulting in the sheath, on the
average, being deficient or depleted of electrons. This depletion of electrons in
the sheath results in the sheath being dark because lack of electron/atom
collisions and subsequent relaxation by light emission. In the bulk of plasma,
both ionization and excitation events occurred. It produces the characteristic
glow of plasma. The lack of electron in sheath resulting in high impedance
causes voltage drop across the sheath. If one of electrode is smaller then the
voltage distribution becomes asymmetry with much larger voltage drop
- 92 -
03 Etching
occurring from plasma to the smaller electrode. This shall mean that the RF
current density must be much higher at the smaller electrode in order to
maintain current continuity throughout the system. Therefore, field must be
higher at the smaller electrode.
For etching materials other then photoresist whereby oxygen is used as
etchant, the reactant gases in the plasma are usually halide containing species
such as Freon 14 CF4, Cl2, and hydrogen bromide HBr. Sometime small amount
of other gas such hydrogen, oxygen, and argon are added. The high energy
electrons in the plasma can cause a variety of reactions to occur with reactant
gases including electron-induced ionization, dissociative ionization,
recombination, and excitation reaction as illustrated in Fig. 3.7. Take for an
example, CF4 reacts with an electron to form CF3, F, and one electron. This is a
continuous dissociation process. The neutral F atom becomes a reactive agent
for etching process.
Figure 3.7: Typical chemical reactions and species present in plasma
Thus, for CF4 plasma, there are electrons, CF4, CF3, and other fluorinated CFx
fragment, CF3- and F. Among them CF3 and F are very reactive free radical
species. Typically, there will be about 1015cm-3 neutral species and about 1 to
10% may be free radicals and 108 to 1012cm-3 ions and electrons. The actual
numbers of the different species will depend on the balance between generation
and loss or recombination reactions.
- 93 -
03 Etching
3.3.1 Plasma Etching Mechanism
As mentioned earlier, there are two main types of species involved in plasma
etching, which are reactive neutral chemical species and the ionic species. The
reactive neutral species, which are free radicals in many cases but sometimes
other reactive species such as chlorine and fluorine are primarily responsible for
the chemical component in plasma etch process. Ionic species are responsible
for the physical component. It can work independently or it can work together
to get synergistic reaction. When the reactive neutral species work by
themselves, the process or mechanism is called chemical etching. Ionic species
acting by themselves can be resulted in physical etching or sputtering. When the
reactive neutral species and ionic species act in synergistic manner, this is called
ion enhanced etching.
3.3.1.1 Chemical Etching
Chemical etching of materials in plasma is commonly done by free radicals.
Free radicals are electrically neutral species having incomplete bonding, in
which they have unpaired electrons. The fluorine free radical F and CF3 neutral
free radical can be produced by reaction of CF4 and electron.
e- + CF4  CF3 + F + e-
(3.12)
e- + CF4  CF3 + F + 2e-
(3.13)
or
Owing to its incomplete octal bonding structure, free neutral radical such as
fluorine is highly reactive chemical species. Free fluorine radical, with seven
electrons in its outermost shell instead of eight electron stable state would like
be bonded with other atom so that all electrons are paired.
The ideal in plasma etching is the reaction of neutral species with the
material to be etched. Take for example, the fluorine radical reacts with silicon
to form volatile SiF4 gas. This gas would easily vaporize and it leaves the
surface exposed with more silicon for further etch. The chemical reaction is
shown in equation (3.14).
4F + Si  SiF4
(3.14)
- 94 -
03 Etching
There are several chemical reactions happened before it arrives to final SiF 4 gas.
The part of fluorine F diffuses to the surface of silicon substrate. The other part
forms fluorine molecule, recombine, attract to the walls, or being pumped out
etc. The diffused fluorine radical forms a covalent bond with silicon atom to
form SiF due to Van der Waals force of attraction. Three additional covalent
bonds would be formed and finally it obtains a stable state, which SiF4 gas. The
chemical equation of neutral radical F reacts with silicon dioxide is shown in
equation (3.15).
4F + SiO2  SiF4 + O2
(3.15)
The illustration of the generalized chemical reaction of free radical with
substrate material is shown in Fig. 3.8.
Figure 3.8: Chemical reaction of radical species with substrate material
Gas additive can be used to increase rate of etching. Oxygen is often added to
Freon 14 CF4 plasma. Oxygen reacts with dissociated CF4 species like CF3 or
CF2 that reduces the rate of recombination of these species with fluorine F.
However, too much oxygen added dilutes the fluorine F, oxidizes the surface of
wafer resulting reduced rate of etching.
Plasma chemical reaction acts isotropically like the wet etching chemical
process due to the arrival angle distribution and a low sticking coefficient.
Since the radical is neutral, the arrival angular distribution equal to one and it is
random. The sticking coefficient is low shall mean there is no immediate
reaction upon arrival. The sticking coefficient of the species is defined by
equation (3.16).
- 95 -
03 Etching
Sc = Freacted/Fincident
(3.16)
A high sticking coefficient, which is one, shall mean that the reaction and
etching occur the first time the species strikes the surface. A low sticking
coefficient means that before the species reacts, it leaves the surface. One may
think that the species should be highly reactive. However, due to availability of
site, multiple atoms and species, competing reactions, and surface
recombination, the sticking coefficient is actually low.
3.3.1.2 Physical Etching
The other main species that participate in plasma etching are the ions. Owing to
presence of sheath area whereby there is electric field drop across it, positive
ions are accelerated toward each electrode. Since the wafer is placed at one of
the electrodes, positive ionic species such as Cl+ or Ar+ in purely physical
sputter etch system will be accelerated to the surface of wafer. This striking of
the wafer surface results in more physical component of etching. The flux of
ions toward the surface of wafer is much more directional than the flux of
neural free radicals because of the directionality of the electric field from
plasma to the surface of wafer. Thus, the etching will be more directional and
more anisotropic. Indeed it is always assumed that the positive ion will arrive
normal to the surface of wafer as shown in Fig. 3.9(b) versus the arrival angle of
neutral free radical chemical etching, which are wide and low sticking
coefficient as shown in Fig. 3.9(a).
(a)
(b)
Figure 3.9: Fluxes of specifies in plasma etch. (a) Neutral radical chemical etching and (b)
positive ion physical etching
- 96 -
03 Etching
3.3.1.3 Ion Enhanced Etching
Another way that ions participate in plasma etch processes is through ion
enhanced etching. It is a known fact that ion and neutral radical do not act
independently in etch process. It can be observed from rate of etching, in which
it is equal to the sum of the rate of etching of ion and neutral radical. In many
circumstances, the total rate of etching is higher than the sum of etch rate of the
individual. A classic example of ion enhanced etching is shown in Fig. 3.10.
Figure 3.10: Rate of etching of silicon illustrating synergic effect of ion enhanced etching
It shows the rate of etching of silicon as xenon fluoride XeF2 gas and argon
positive Ar+ ion are introduced to the surface of silicon. The result shows that
rate of etching increases when XeF2 gas and positive argon ion are introduced
together. Xenon fluoride gas alone or Ar+ ion alone has low rate of etching or
practically zero rate of etching. This shows the synergistic effect whereby both
chemical and physical etching processes are working simultaneously. The
profile of etching for ion-enhanced etch is like the physical etch showing
anisotropic etch as shown in Fig. 3.1(a) and not the profile of isotropic etch as in
Fig. 3.1(b). If the chemical component is increased i.e. XeF2, the vertical etch
rate is increased but not the lateral etch rate as it is expected for chemical etch.
It has been found that chemical inert residue is often formed from etching
or sputtering of photoresist. In additional species from plasma may deposit on
the surface. These layers may prevent chemical reaction either by physically
blocking the chemical etch species or by reacting with them or deplete them.
This layer is called inhibitor can be removed from physical ion bombardment
- 97 -
03 Etching
leading to anisotropic etch since ion bombardment is directional etch. The
sidewall is inhibited. Figure 3.11 illustrates the inhibitor is removed by physical
etch on the surface of wafer.
Figure 3.11: Inhibitor prohibits lateral physical etch
3.3.2 Type of Plasma Etching Systems
Plasma reactor technology in the electronics industry has changed dramatically
since the first application of plasma processing to strip photoresist. A plasma
etching system contains a vacuum chamber, pump system, power supply
generators, pressure sensors, gas flow control units, and an end-point detector.
Plasma etch tools may be categorized according to the etch mechanism each of
them employs. There are many available etching systems available in the
market. Examples are barrel etching system, downstream plasma etching
system, in which both of them are chemical etching systems. Examples of
etching system that can perform both physical and chemical etching are reactive
ion etching RIE system, magnetic enhanced RIE, magnetic confinement triode
RIE, electron cyclotron resonance ECR etching system, and Inductive coupled
plasma ICP or Helicon wave RF plasma etching system.
A comparison of pressure operating ranges and ion energies for a few types
of systems is shown in Fig. 3.12. Each etch tool is designed empirically and
uses a particular combination of pressure, electrode configuration and type, and
source frequency to control the two primary etch mechanisms chemical and
- 98 -
03 Etching
physical. High etch rate and automation are greatly emphasized for most etching
system used for microelectronic fabrication.
We shall discuss a few of the etching systems here.
Figure 3.12: Comparison of ion energy and operating pressure ranges for different types of
plasma systems
3.3.2.1 Barrel Etching System
A barrel etching system usually consists of a cylindrical vacuum chamber with a
pair of RF electrodes concentrically located inside. The cross-sectional and side
views are shown in Fig. 3.13. The wafers are placed upright in a boat along the
axis of the chamber so that they lie concentrically within the reactor. The
process gas pressure is usually between 0.1 to 5 torr, depending on the type of
material to be etched. A large batch of wafers can be processed in each run. In
the barrel reactor the active radicals are formed between the two electrodes and
diffuse through the holes in the electrode to the wafers. The resultant etch
profile is isotropic because there is not much ion bombardment on the wafer
surface. The barrel etching system is suitable for less critical process steps such
as the removal of photoresist. Reactants transport to the wafer surface through
gas-phase diffusion from the edge of the wafer to the center of the wafer.
Therefore, etch uniformities within a wafer and among wafers are very poor,
- 99 -
03 Etching
and a large amount of overetch is usually needed to solve this etch uniformity
problem.
(a)
(b)
Figure 3.13: Schematic of a barrel plasma etching system showing (a) side view and (b)
cross sectional view
3.3.2.2 Magnetic Enhanced and Confinement Plasma Etching System
Magnetic-enhanced reactive ion plasma etching system uses a group of
permanent magnets located behind the etching wafer or pairs of direct-current
electric coils to generate a magnetic field parallel to the wafer surface, as shown
in Fig. 3.14.
Figure 3.14: Schematic of magnetic-enhanced reactive ion etching system with a timeaverage magnetic field applied parallel to the cathode
- 100 -
03 Etching
The magnetic field is perpendicular to the electric field due to the cathode dc
bias and confines electrons to a circular trajectory near the cathode. Electron
confinement reduces the loss of electrons to the wall of the system and increases
the frequency of electron-neutral collisions. The associated higher frequency of
collisions increases ion density and electron confinement reduces the mobility
of electrons toward the cathode, thereby reducing the self-bias voltage. To
achieve the required time-average plasma uniformity across the wafer surface,
the field direction is rotated electrically with a period that is short with respect
to the processing time. Magnetic fields also modify the ion-bombardment
energies and can be used as another parameter for process optimization.
Uniformity of the plasma and radical fluxes to the wafer are critical issues.
Magnetic-confinement plasma etching system uses permanent-magnet pole
pieces and they are arranged alternately around the process chamber to create a
magnetic-field-free region around the wafer, as shown in Fig. 3.15.
Figure 3.15: Schematic of magnetic-confinement reactive ion etching system with a multipolar magnetic bucket surrounding the etching chamber
- 101 -
03 Etching
A high density of ions is achieved through the reflection of electrons back into
the plasma from the surface magnetic-field bucket. This greatly increases the
effective path length of electrons, and higher ion density is generated from the
higher frequency of electron-neutral collisions.
3.3.2.3 Electron Cyclotron Resonance Plasma Etching System
Most parallel-plate plasma etching systems, except triode RIE, do not provide
the ability to independently control plasma parameters, such as electron energy,
ion energy, plasma density, and reactant density. As a result, ion-bombardmentinduced damage becomes serious as device dimensions shrink. ECR etching
uses microwave excitation in the presence of a magnetic field to generate a
high-density discharge. The Lorentz force causes the electrons to circulate
around the magnetic field lines in circular orbits, with a characteristic cyclotron
frequency follows equation (3.17).
f Ce 
2qB
me
(3.17)
where q is the electron charge, B is the magnetic field, and me is the electron
mass. When this frequency equals the applied microwave frequency, a
resonance coupling occurs between the electron energy and the applied electric
field, which results in a high degree of dissociation and ionization (10-2 for ECR
compared to 10-6 for RIE). With a microwave frequency of 2.45GHz, the
required magnetic field is 875 gauss. Figure 3.16 shows one of the possible
ECR plasma etching configurations. Microwave power is coupled via a
waveguide through a dielectric window into the ECR source region. The
magnetic field, supplied from magnetic coils, decreases as a function of distance
from the coils.
Owing to the gradient in the magnetic field decreases, the electrons are
accelerated away from the plasma source, creating a negative potential in the
direction of the wafer. Ions diffuse by ambipolar diffusion out of the source
region into the wafer process chamber. The wafer is RF or dc-biased to control
the energy of the ions to achieve the desired etch anisotropy. Plasma uniformity
degrades because of the ambipolar diffusion and mirror magnetic field, but a
multi-pole magnetic bucket can be used to improve plasma uniformity. Etch
uniformity can also be improved by putting the wafer in an ECR source region
surrounded by an optimized magnetic field.
- 102 -
03 Etching
Figure 3.16: Schematic of an electron cyclotron resonance etching system
3.3.2.4 Inductively Coupled and Helicon Wave RF Plasma Etching Systems
As feature sizes for integrated circuit continue to decrease, the conventional
capacitive coupled RF plasma etching system has reached its limit. Only the t
ECR plasma systems are suitable for etching ULSI submicron device. However,
they are not popular in manufacturing because of their complexity. Other types
of high-density plasma sources such as inductively coupled plasma ICP sources
or helicon plasma sources may become the main plasma sources for future
ULSI etching process. The PMT MORI® source is a helicon wave plasma
source. The Lam TCP source is a transformer-coupled plasma source. An
inductively coupled plasma source as shown in Fig. 3.17 generates high-density,
low-pressure plasma that is decoupled from the wafer. It allows independent
control of ion flux and ion energy. Plasma is generated by a flat spiral coil that
is separated from the plasma by a dielectric plate on the top of the reactor. The
wafer is located several skin depths away from the coil, so it is not affected by
the electromagnetic field generated by the coil. There is little plasma density
loss because plasma is generated only a few mean free paths away from the
wafer surface. Therefore, a high-density plasma and high etch rates are
achieved.
- 103 -
03 Etching
Figure 3.17: Illustration of an inductively coupled plasma etching system with PMT MORI®
source
A helicon RF wave plasma source can also be used to generate a high-density
(>1011/cm3) discharge. A transverse electromagnetic radio-frequency wave
(13.56MHz), excited by a double-loop or single-loop antenna located outside a
quartz source tube, is coupled with a steady longitudinal magnetic field B0 of
approximately 100 gauss generated by a solenoid coil, as shown in Fig. 3.18.
The resonance condition for propagation of a helicon wave depends on the
magnitude of the longitudinal field and the dimension of the reactor. If the
wavelength of the helicon wave is the same as the antenna length, the coupling
will be resonant. High-density plasma then diffuses into the wafer chamber. In
addition, the wafer can be biased separately with a second RF generator.
- 104 -
03 Etching
Figure 3.18: Schematic of a helicon wave plasma etching system with a double-loop antenna
3.3.3 Plasma Etching for Various Films
Most reactant gases for plasma etching contain halogen. Generally they are
chlorine Cl and fluorine F and sometimes bromine Br. Free radicals of these
species can easily be produced in plasma which can efficiently etch many film
types and volatile etch products commonly produced by these species. The
- 105 -
03 Etching
choice of reactant gas to etch a specified film type depends on a number of
factors. The most important factors are etching selectivity to underlying film,
anisotropic etching, and volatility of main etch byproducts.
Volatility of the byproduct is an important factor to determine the type of
reactive gas to be used for etching because byproduct has to be removed from
surface of the underlying. Otherwise, it would impede the process of etching.
The volatility or tendency to evaporate depends on the boiling point of the
byproduct. The lower the boiling point, the less tightly bounding of the
byproduct to the surface, the lower the surface binding energy, and the higher
the volatility. Figure 3.19 illustrates a list of boiling points of some typical etch
byproducts.
Element
To be etch
Chloride
byproduct
Boiling Point
0
C
177.8
(sublimation)
Fluoride
Byproduct
Al
AlCl3
Cu
CuCl
1490
CuF
Si
SiCl4
57.6
SiF4
Ti
TiCl3
136.4
TiF4
W
WCl6
WCl5
WOCl4
347
276
227.5
WF6
WOF4
AlF3
Boiling Point
0
C
1,291
(sublimation)
1,100
(sublimation)
86
284
(sublimation)
17.5
187.5
Figure 3.19: Boiling points of some typical etch byproducts
One can see that if aluminum is etched with fluorine reactant gas, the byproduct
AlF3 has boiling point 1,2910C transforming directly from solid to gas state
(sublimation). This means that the etch byproduct will be tightly bounded to the
surface with very low vapor pressure. Even with ion bombardment, it is difficult
to leave the surface. On the other hand, if aluminum is etched with chlorine
reactant gas, the byproduct AlCl3 has boiling point 177.80C. This shows that it
is loosely bonded to surface and it is a much more volatile species.
Figure 3.20 lists some common etchants used in plasma etching films in
integrated circuit fabrications. These etchants are chosen mostly based on issues
associated with byproduct, volatility, etch selectivity, and etch profiles. The
exact profiles and selectivities will depend on the specific system and plasma
conditions.
- 106 -
03 Etching
Materials
Polysilicon/Single
Crystalline Si
Etchant
CF4
CF4/H2
CF4/O2,SF6
Silicon dioxide
SiO2
HBr, Cl2,
Cl2/HBr/O2
SF6, NF3, CF4/O2,
CF4
CF4/H2, CHF3/O2,
C2F6, C3F8
CHF3/C4F8/CO
Silicon nitride
Si3N4
CF4/O2
CF4/H2
CHF3/O2, CH2F2
Aluminum Al
Cl2
Cl2/CHCl3, Cl2/N2
Tungsten W
CF4, SF6
Cl2
Ti/TiN
TiSi2
Photoresist
Comments
Isotropic or near isotropic
(significant undercutting); fair to
no selectivity over SiO2.
Very anisotropic; non selectivity
over SiO2.
Isotropic or near isotropic; good
selectivity over SiO2.
Very anisotropic; most selectivity
over silicon dioxide SiO2.
Can be near isotropic (significant
undercutting); anisotropy can be
improved with higher ion energy
and lower pressure; poor or not
selective over silicon.
Very anisotropic; selective over
silicon
Anisotropic; selective over silicon
nitride
Isotropic; selective over silicon
dioxide SiO2 but not silicon Si.
Very anisotropic; selective over
Si but not silicon dioxide SiO2.
Very anisotropic; selective over
silicon Si and silicon dioxide
SiO2.
Near
isotropic
(significant
undercutting).
Very isotropic; BCl3 often added
to scavenge oxygen.
High etch rate; non selective over
silicon dioxide SiO2.
Selective over silicon dioxide
SiO2.
Cl2, Cl2/CHCl3, CF4
Cl2, Cl2/CHCl3,
CF4/O2
O2
Very selective over other film.
Figure 3.20: Common etchant types for plasma etching film
- 107 -
03 Etching
3.3.3.1 Plasma Etching Silicon Dioxide
Fluorine based reactant gas is usually used to etch silicon dioxide. The volatility
of the main etch byproduct SiF4 is very high as indicated by low boiling as
shown in Fig. 3.17. Thus, SiF4 can easily leave the surface via thermal
desorption. Fluorine can etch silicon dioxide by reaction of the fluorine radical
with the overall reactions given by equation (3.15). CF2 and CF3 free radicals
may also be directly involved in the case of fluoricarbon etchant in which CO
containing byproduct would also be produced.
3.3.3.2 Plasma Etching Polysilicon
The choice of etchant for polysilicon depends on the same factors as etching
silicon dioxide, which byproduct volatility, selectivity, and anisotropy. Another
issue is the presence of ubiquitous native oxide layer on the surface of the film
that requiring initial breakthrough etch step to remove it.
Fluorine based etchant can be used for polysilicon etching because of the
volatility of SiF4. However, etching polysilicon with SF6, CF4, or CF4/O2 has
relatively large isotropic component due to high concentration of fluorine
radical produced in plasma combined with little polymer formation. Isotropic
etch can be enhanced by adding hydrogen gas H2. By doing this, it also
enhances the etch rate of silicon dioxide over silicon Si by forming polymer on
silicon.
If anisotropy is not important then adding oxygen to CF4 allows etching
polysilicon with good selectivity than silicon dioxide.
3.3.3.3 Plasma Etching Aluminum
Plasma etching of aluminum interconnect line has been a challenge for process
engineer but successful strategies have been developed to overcome the many
problems associated with this film. Besides those mentioned criteria earlier,
native oxide and potential corrosion problem as well as copper doping in the
film lead to more difficulties.
Owing to the presence of native alumina Al2O3 on the aluminum film
surface, an initiation or breakthrough etch step must be done before the main
aluminum etch step. Ion bombardment or sputtering is usually done to remove
this film in conjunction with chemical scavenging. Argon sputtering can be used
but gases such as boron trichloride BCl3, tetrachlorosilane SiCl4, carbon
- 108 -
03 Etching
tetrachloride CCl4, or boron tribromide BBr3 are often used to scavenge the
oxygen O2, and water H2O and remove aluminum oxide Al2O3 on the aluminum
Al surface by both physical and chemical means. A load lock system is required
to control the water level.
The byproduct of etching aluminum with fluorine based radical aluminum
fluoride AlF3 is not very volatile so chlorine based radical is most often used for
main aluminum etch step. Chlorine gas is commonly used and the neutral
chlorine radical can directly etch aluminum. However, chlorine neutral radical
etches isotropically and produces an undercut. Ion bombardment has little effect
on the etch rate. To suppress lateral etching of aluminum and obtain vertical
profile, sidewall inhibitor formation is needed. Therefore, inhibitor source or
promoter is often added to the gas mixture. These include chloroform freon-11
CHCl3, CFCl3, CCl4, and nitrogen N2.
Aluminum interconnect line usually contains silicon and copper, which can
complicate the etching process. While silicon is readily etched by chlorine Cl
but copper Cu is not. Note that the byproduct from copper etch with halogen
etching has quite low volatility. Ion bombardment and often higher temperature
are needed for etching copper.
An over etch step is especially important for aluminum etching to remove
the residues both from inhibitor layer and the copper precipitate. The removal of
copper precipitate and residue is difficulty. It depends on the aluminum
deposition conditions. With a higher deposition temperature, it gives larger
precipitates and more residues.
Exercises
3.1.
o
The thermal oxide film has thickness 5,000 A . After 30s of plasma etch,
o
the thickness is reduced to 2,500 A . Calculate the rate of etching.
3.2.
o
Before etching the five point thickness mesaurements are 3,500 A ,
o
o
o
o
3,510 A , 3,499 A , 3,501 A , and 3,493 A respectively. After 60s etching the
o
o
o
o
measurments are 1,500 A , 1,455 A , 1,524 A , 1,451 A , and
o
1,563 A respectively. Calculate the average etch rate and max-minu-min
non-uniformity.
3.3.
Define isotropic etch.
- 109 -
03 Etching
3.4.
State a reason why selectivity of a wet etch process between two
materials is important for etching process.
3.5.
Polysilicon is to be wet etched using photoresist as a mask. What should
be ratio of etch rate of polysilicon as compared with etch rate of
photoresist in order to prevent over etch?
3.6.
State the reason why wet etch is not suitable for VLSI device fabrication.
3.7.
1.2m of silicon dioxide is to be etched way on top of doped silicon
substrate. The rate of etching is 0.4m/min and etch selectivity of the
silicon dioxide with respect to doped silicon is 25-to-1. Calculate the time
taken to etch way this thickness of oxide.
3.8.
A 0.5m layer of silicon oxide on silicon substrate needs to be etched
away. Assume that the nominal oxide etch rate is r ox m per minute.
There is a 5% variation in the thickness of oxide and a 5% variation in
oxide etch rate.
(i). How much overetch is required in % time in order to ensure that all
oxide is etched?
(ii). What is the selectivity of the oxide etch rate to the silicon etch rate is
required that a maximum of 5.0nm of silicon is etched?
3.9.
Consider the structure shown in the figure below. A 0.5m thick oxide
layer is etched to achieve equal structure width and spacing Sf. The etch
process produces a degree of anisotropy of 0.8. If the distance between
the mask edge x is 0.35m, determine the spacing and width of the
structure. You may assume no over etch.
- 110 -
03 Etching
3.10. Silicon dioxide of thickness 1.5m is to be etched way on top of doped
silicon substrate. The etching time is 4.0mins and selectivity of the silicon
dioxide with respect to doped silicon is 25 to 1. Calculate the etching rate
of silicon dioxide if there is 0.003m of silicon substrate is being etched
away during the etching process.
- 111 -
03 Etching
Bibliography
1.
JD Pummer, MD Del, and Peter Griffin, “Silicon VLSI Technology”
Fundamentals, Practices, and Modeling”, Prentice Hall, 2000.
2.
Hong Xiao, “Introduction to Semiconductor Manufacturing Technology”,
Pearson Prentice Hall, 2001.
3.
SM Sze, “VLSI Technology”, second edition, McGraw-Hill, 1988.
4.
CY Chang and SM Sze, “ULSI Technology”, McGraw-Hill, 1996.
- 112 -
Index
Hydrogen ........................................................... 108
Hydrogen bromide ............................................... 93
Hydrogen peroxide ........................................ 88, 89
A
Acetic acid...................................................... 85, 89
Alumina................................................ 89, 108, 109
Aluminum .......................................... 106, 108, 109
Aluminum fluoride ............................................. 109
Ammonium fluoride ...................................... 85, 88
Argon ................................................................... 93
I
ICP ......................... See Inductively coupled plasma
Inductive coupled plasma etching system ... 98, 103
Inductively coupled plasma ......................... 98, 103
Ion enhanced etching .................................... 94, 97
Isopropyl alcohol .................................................. 88
B
Barrel etching system .................................... 98, 99
BHF .................................................See Buffered HF
Boron tribromide ............................................... 109
Boron trichloride ............................................... 108
Bromine ............................................................. 105
Buffered HF .......................................................... 85
L
Lorentz force ...................................................... 102
M
Magnetic confinement plasma etching system . 101
Magnetic enhanced RIE etching system ...... 98, 100
Mask erosion ........................................................ 87
Max-minus-min non-uniformity .......................... 85
C
Capacitive coupled RF plasma etching system ... 91,
103
Carbon tetrachloride ......................................... 109
Chemical etching ................................................. 94
Chlorine ..........................................90, 94, 105, 109
Chloroform ........................................................ 109
Copper ............................................................... 109
N
Nitric acid ................................................. 85, 88, 89
Nitrogen ............................................................. 109
Nitrogen dioxide .................................................. 88
O
D
Oxygen ........................................... 93, 95, 108, 109
Degree of anisotropy ........................................... 87
Downstream plasma etching ............................... 98
Dry etch ............................................................... 89
P
Phosphoric acid .................................................... 89
Photoresist ........................................................... 85
Physical etching.................................................... 96
Plasma enhanced chemical vapor deposition ...... 91
Plasma etch .................................. 83, 89, 90, 94, 96
®
PMT MORI ........................................................ 103
Polysilicon ............................................ 85, 107, 108
Potassium hydroxide............................................ 88
E
ECR .....................See Electron cyclotron resonance
Electron cyclotron resonance ...................... 98, 103
Electron cyclotron resonance etching system .... 98,
102
Etching ................................................................. 83
Etching Method
Chemical etching ............................................. 94
Ion beam etching ............................................. 83
Ion enhanced etching ................................ 94, 97
Plasma etching ........................................... 83, 94
Reactive etching .............................................. 83
Sputtering etching ........................................... 83
R
Rate of etching ..................................................... 84
Reactive ion etching system ................................ 98
RF plasma system................................................. 92
RF sputtering system ........................................... 91
S
F
Scanning electron microscope ............................. 84
Selectivity ............................................................. 85
SEM ................... See Scanning electron microscope
Semiconductor
Silicon ................................................. 85, 94, 109
Silicon dioxide .................... 85, 88, 90, 95, 107, 108
Silicon nitride ............................................... 89, 107
Standard deviation non-uniformity ..................... 85
Sulfuric acid .......................................................... 88
Fluorine .....................................90, 94, 95, 105, 108
Fluorine radical .................................................... 94
Freon .................................................................... 95
Freon-11 ............................................................ 109
H
Helicon wave RF plasma etching system ..... 98, 104
Hydrofluoric acid ..................................... 85, 88, 90
- 113 -
03 Etching
T
Magnetic enhanced RIE etching system .. 98, 100
Tetrachlorosilane ............................................... 108
Titanium oxide ..................................................... 89
Titanium silicide ................................................... 89
Titanium sulphate ................................................ 89
Type of Etching System
Barrel etching system ...................................... 98
Barrel plasma etching system .......................... 99
Capacitive coupled RF plasma etching system 91,
103
Electron cyclotron resonance etching system 98,
102
Helicon RF wave plasma etching system . 98, 104
Inductive coupled plasma etching system 98, 103
Magnetic confinent plasma etching system .. 101
U
Ultra large scale integration................................. 83
V
Van der Waals force ............................................. 95
W
Wet etch .............................................................. 86
X
Xenon fluoride ..................................................... 97
- 114 -