Download *** 1 - Embedded Systems

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts

Russian architecture wikipedia , lookup

History of architecture wikipedia , lookup

Georgian architecture wikipedia , lookup

Postmodern architecture wikipedia , lookup

Ottoman architecture wikipedia , lookup

Structuralism (architecture) wikipedia , lookup

Architecture of the United States wikipedia , lookup

Neoclassical architecture wikipedia , lookup

History of business architecture wikipedia , lookup

Mathematics and architecture wikipedia , lookup

Gothic secular and domestic architecture wikipedia , lookup

Architecture of India wikipedia , lookup

Architecture of the United Kingdom wikipedia , lookup

Sacred architecture wikipedia , lookup

Architecture of England wikipedia , lookup

Architecture of Italy wikipedia , lookup

Architectural theory wikipedia , lookup

Architecture wikipedia , lookup

Transcript
Presenter : Cheng_Ta Wu
Masoumeh Ebrahimi, Masoud Daneshtalab, N P Sreejesh, Pasi Liljeberg, Hannu Tenhunen
Department of Information Technology, University of Turku, Turku, Finland
NORCHP 2009

Abstract

What’s the problem

Related works

The proposed method

Experiment Results
In this paper, we present novel network interface
architecture for on-chip networks to increase
memory parallelism and to improve the resource
utilization. The proposed architecture exploits AXI
transaction based protocol to be compatible with
existing IP cores. Experimental results with
synthetic test case demonstrate that the proposed
architecture outperforms the conventional
architecture in term of latency.

According to our observation, the utilization of
reorder buffer in NIs is significantly low. Therefore,
the traditional buffer management is not efficient
enough for NIs.
[6]
Transaction ID
renaming
[7]
Supporting shared
memory abstraction
and flexible network
configuration
Increasing latency
[10]
Moving the reorder
buffer resources
from NI into network
routers
Using global synchronization
the performance might be
degraded, and the cost of
hardware overhead is too high
[5]
NISAR (network
interface architecture
supporting adaptive
routing)
Low buffer utilization, and no
support burst transaction

Master-side NI architecture

Slave-side NI architecture

Both NI are partitioned into two paths

Forward path: transferring the requests to the network
。AXI-Queue, Packetizer unit, Reorder unit

Reverse path: receiving the responses from the network
。Packet-Queue, Depacketizer unit, Reorder unit

AXI-Queue:



Performs the arbitration between the write and read transaction
channels and stores requests into write or read requests buffers.
If admitted by the reorder unit the request message will be sent to
the packetizer unit.
Packetizer:

Convert incoming messages from the AXI-Queue into header and
data flits.

Packet-Queue:



Receives packets from the router.
If the packet is out of order(according to the sequence number), it is
transmitted to the reorder buffer, otherwise it will be delivered to the
Depacketizer unit directly.
Depacketizer:

restore packets coming from either the reorder buffer or PacketQueue into the original data format of the AXI master core.

Including a Status-Register, a Status-Table, a Reorder buffer,
and a Reorder-Table

In the forward path:


Preparing the sequence number for corresponding transaction ID, and
avoiding overflow of the reorder buffer by the admittance mechanism are
provided by this unit.
In the reverse path:

Determines where the outstanding packets from the packet-queue should
be transmitted(recorder buffer or Depacketizer), and when the packets in
the reorder buffer could released to the depacketizer

Status-Register and Status-Table:

Status-Register:
。 It’s an n-bit register where each bit corresponds to one of the AXI transaction IDs. This register records
whether there are one or more messages with the same transaction ID being issued or not.

Status-Table:
。 Each entry of this table is considered for messages with the same transaction ID, and includes valid tag (v),
Transaction ID (T-ID), Number of outstanding Transactions (N-T), and the Expecting Sequence number (E-S).
Size_nm: size of new message
Size_AOM: size of all
outstanding messages

Reorder-table and reorder-buffer

Each row of the reorder table corresponds to an out-of-order packet stored
in the reorder buffer.

Reorder-Table includes the valid tag (v), the transaction ID (T-ID), the
sequence number (S-N),and the head pointer (P).

Whenever an in-order packet delivered to the depacketizer unit, the
depacketizer controller checks the reorder table for the validity of any
stored packet with the same transaction ID and next sequence number. If
so, the stored packet will be released from the reorder unit to the
depacketizer unit.

To avoid losing the order of header information carried by
arriving requests, a FIFO has been considered

In the first configuration (A), out of 25 nodes, ten nodes are assumed
to be processor (master cores-with master NI) and other fifteen nodes
are memories (slave cores-with slave NI).

For the second configuration (B), each node is considered to have a
processor and a memory (master core with master-NI, and slave
cores with slave-NI).
Baseline architecture is
according to the reference [5][6]

Latency defined as the number of cycles between the initiation of a request operation issued by a
master and the time when the response is completely delivered to the master from the memory.

And the request rate is defined as the ratio of the successful read/write request injections into the NI
over the total number of injection attempts.
Efficient Network
Interface Architecture
for Network-on-chip
Protocol Transducer
Synthesis using
Divide and Conquer
approach
Automatic Interface
Synthesis based on the
Classification of
Interface Protocols of
IPs