Download Jet and Flash Imprint Lithography material and tool development for

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts
no text concepts found
Transcript
Jet and Flash Imprint Lithography material and tool development for high
throughput semiconductor memory
Jin Choi, Niyaz Khusnatdinov, Dwayne LaBrake, Zhengmao Ye, Tim Stachowiak, J. W. Irving,
Whitney Longsine, Matthew Traub, Van Truskett, Brian Fletcher, Weijun Liu,
S. V. Sreenivasan
Canon Nanotechnologies, Inc, 1807-C West Braker Lane, Austin, TX 78758 USA
Nanoimprint lithography techniques are known to possess replication resolution below 5nm. A specific form of
imprint lithography known as Jet and Flash* Imprint Lithography (J-FIL*) has been developed for manufacturing
advanced CMOS memory. A one step patterning at 15nm half-pitch can be achieved with J-FIL eliminating the need
of complicated and expensive Self-Aligned-Quadruple–Patterning. In addition, patterns are not limited to repeating
structures such as lines and spaces thereby leading to significant cost savings in patterning. J-FIL involves field-byfield inkjet deposition of a low viscosity resist fluid followed by imprinting with nano-scale precision overlay. A mask
with a relief structure is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary
action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is separated from
the substrate leaving a patterned resist on the substrate.
There are two critical components to meeting throughput requirements for imprint lithography. Using a similar
approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance
throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing.
For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and
separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical
durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 15 wafers per hour (wph) for
a single station, it is necessary to complete the fluid fill step in 1.5 seconds. For a throughput of 20 wph, fill time must
be reduced to only one second. There are several parameters that can impact resist filling. Key parameters include:




Resist drop volume (smaller is better),
System controls (which address drop spreading after jetting),
Design for Imprint or DFI (to accelerate drop spreading)
Material engineering (to promote wetting between the resist and underlying adhesion layer).
In this paper, we address the improvements made in all of these parameters to enable a 1.50 second filling process
for a sub-20nm device like pattern and have demonstrated this capability for both full fields and edge fields.
One example for improving throughput involves drop pattern algorithms that are designed to minimize the time
it takes for drops to merge into a continuous film. The software must recognize the difference between one and two
dimensional patterns and compensate accordingly. As an example, one dimensional line patterns typically found in
NAND Flash designs benefit from a correctly designed gridded drop pattern that takes advantage of the preferential
filling along the lines. Figure 1 shows examples of the filling of vertical lines with a standard square drop pattern and
a diamond-like drop pattern that compensates for the preferential filling along the y axis.
A second step taken to improve throughput was to adjust the wetting properties of the resist material. Figure 2
shows drop spreading for three different materials. Note that drop spreading is enhanced by a factor of three relative
to older materials.
Finally, other technical advancements made in order to address the fabrication of advanced devices such as NAND
Flash memory will be discussed. The FPA-1200NZ2C cluster system, pictured in Figure 3, is a four station imprint
tool. We will update important aspects of particle control and particle control mechanisms which have a direct impact
on mask life. Additionally, we will elaborate on the overlay budget model and discuss contributions of the tool, wafer,
mask and the influences of other related processes. Finally, we will show Canon’s tool roadmap as well new
developments designed to enhance tool performance.
*Jet and Flash Imprint Lithography and J-FIL are trademarks of Molecular Imprints Inc.
Figure 1a. Non-optimized drop pattern applied to a dense line/space pattern. Filling proceeds slowly in x.
Figure 1b. Diamond-like drop pattern compensates for the preferential filling in the y direction
FT385M
FT385M2
FTxxx
70µm
115µm
215µm
Substrate:
4nm
adhesion
layer on Si
Figure 2. Drop diameter for three materials. A newer formulation promotes much faster wetting of the resist.
Figure 3. NZ2C cluster system