Download 14th International Conference for X

Document related concepts

Image-guided radiation therapy wikipedia , lookup

Industrial radiography wikipedia , lookup

Backscatter X-ray wikipedia , lookup

X-ray wikipedia , lookup

Transcript
14th International Conference
for X-Ray Lasers 2014
Conference Program and
Book of Abstracts
May 26th– 30th, 2014
Colorado State University
Fort Collins, Colorado, USA
14th International Conference on X- Ray Lasers
ICXRL 2014
International Conference on X-Ray Lasers
May 26-30, 2014
Fort Collins, Colorado, U.S.A.
Conference Co-Chairs
Co-Chair: Jorge Rocca, Colorado State University
Co-Chair: Carmen Menoni, Colorado State University
Co-Chair: Mario Marconi, Colorado State University
International Advisory Board
J. Balmer (University of Bern, Switzerland)
L. Chen (Institute of Physics in Beijing, China)
J. Dunn (LLNL, USA)
K.A. Janulewicz (GIST, Korea)
T. Kawachi (JAEA, Japan)
M. Kozlova (IOP, Czech Republic)
C. L. S. Lewis (Queen’s University, UK)
P. V. Nickles (MBI, Germany/GIST, Korea
S. Suckewer (Princeton University, USA)
J. J. Rocca (Colorado State University, USA)
S. Bulanov (APRI, Japan)
H. Daido (JAEA, Japan)
J Feldhauss (Desy, Germany)
Y. Kato (GPI, Japan)
A. Klisnick (ISMO, France)
C. H. Nam (GIST, Korea)
S. Sebban (LOA, France)
G. J. Tallents (University of York, UK)
A. Vinogradov (LPI, Ruissa)
2014 Sponsors:
ARO Alpine Research Optics
Northrop Grumman Cutting Edge Optronics
Princeton Instruments
XUV Lasers
KM Labs Inc.
Newport/Spectra-Physics
Website: http://icxrl2014.colostate.edu
E-mail: [email protected]
ICXRL 2014 Conference Program and Book of Abstracts
Page 2
To Jim Dunn
We would like to dedicate this conference to Dr. Jim Dunn. Jim, an outstanding scientist and a dear
friend, is recognized for major contributions to the field of X-ray lasers in which he was active for most
of his career. He also made significant contributions to the study of high energy density plasmas. Jim
passed away on March 31st, 2014, from cancer. He co-chaired the 2002 ICXRL, in Aspen, and he was
engaged in the organization of this conference until the last moment. He was hoping to be able to
attend. This was not to happen, and many of us will miss him. However, years from now, 31st March
2014 and 26th May 2014 will intermingle and chronology will be lost. And then, in some way, it will be
right to claim that Jim is with us, participating in this meeting *.
Jorge Rocca
Carmen Menoni
Mario Marconi
 The last two sentences are a variation of an idea expressed at the end of the prologue of “El Hacedor” (“The Maker), by Jorge
Luis Borges.
ICXRL 2014 Conference Program and Book of Abstracts
Page 3
General Information
Oral sessions will be held in the lecture hall (room 131) of the Behavioral Sciences Building. All
posters will be displayed in the hallway just outside of the lecture hall.
Break Room and Industry Expo
All coffee breaks and the Industry Expo will be held in room 105 of the Behavioral Sciences
Building. Please see the attached building map for the location. Coffee breaks and lunch wine
are sponsored by the people participating in the Industry Expo. Please stop by and thank them.
Lunch
A buffet lunch will be served on Monday, Tuesday and Thursday at the Sports Bar at the Ram’s
Horn Grill in the Academic Village. Please see the attached map for the location. Walking time
between the Behavioral Sciences Building and the Grill is about 10 minutes so please plan
accordingly.
Rocky Mountain National Park Excursion and Boxed Lunches
The excursion is available to all registered attendees. Please come to the lecture hall on
Wednesday morning appropriately dressed for the excursion. We will be departing directly from
the lecture hall. A boxed lunch will be available for registered attendees who selected an option
during the registration process. The buses will leave directly from the Behavioral Sciences
Building. You may bring your belongings directly from the lecture hall and board the buses.
During stops, your belongings will be secure on the busses. In addition to the boxed lunches,
there will be water and light snacks on the buses. There will be a light reception at the historic
Stanley Hotel in Estes Park before the buses return to Fort Collins in the eveing. You are
welcome to bring along light snacks of your own on the buses.
Pool Party, Lab Tours and Banquet
We will provide van transportation to the events on Tuesday and Thursday evenings. Our 4 vans
are capable of holding 11 people each but will make multiple trips to the Pool Party and Banquet
locations. Van schedules will be posted and are included in the materials you receive at the
conference registration/check-in. Maps are provided for those who have their own cars. Pickup
and drop off locations may include the Behavioral Sciences Building, the Hilton Hotel, the Best
Western Hotel and the Academic Village. Please refer to the van schedules for details.
Proceedings
Hard bound copies of the Conference Proceedings will be shipped to conference registrants at the
addresses providing during the registration process. If you would like to have your copy shipped
to a different location, please send an e-mail to [email protected] and use the subject line
“ICXRL 2014 Proceedings Shipping Address”. Instructions to authors will be provided
regarding format, contents and required materials. Submissions will need to be returned by July
15, 2014 in order to be included in the publication.
ICXRL 2014 Conference Program and Book of Abstracts
Page 4
ICXRL 2014 Conference Program and Book of Abstracts
Page 5
14th International Conference for X-Ray Lasers 2014
May 26 - 30, 2014
Colorado State University
Fort Collins, Colorado
Behavioral Sciences Building Lecture Hall (Room 131), Colorado State University
Sunday May 25, 2014
6.00-8.00 PM
Check in Registration & Reception
Monday May 26, 2014
8.00-8.30 AM
8.30-8.45 AM
Registration
Opening: Jorge Rocca
8.45-9.15 AM
Presider:
Jorge Rocca
Tetsuya Kawachi
Session 1: X-Ray Lasers
Japan Atomic Energy Agency (JAEA)
Progress and Prospects of Coherent X-ray Research using High Power
Lasers in JAEA
T. Kawachi , M. Nishikino, A. Sasaki, M. Ishino, N. Hasegawa, T. Imazono, T.
A. Pikuz, A Y. Faenov, M. Magnitskiy, M. Maruyama, T. Tomita, T. Suemoto,
A. S. Pirozhkov, S. V. Bulanov, H. Kiriyama, M. Kando, M. Yamagiwa, K.
Kondo, P. Bolton and Y. Kato
9.15-9:45 AM
Stephane Sebban
Laboratoire d’Optique Appliquée (LOA), Palaiseau, France.
Progress on collisionaly-pumped OFI soft x-ray laser at LOA
S. Sebban, J. Gautier, F. Tissandier, A. Depresseux, J.P. Godde, A. Tafzi, G.
Maynard, E. Oliva, Y. Neijdl, M. Kozlova, Ph. Zeitoun, Hyung Taek Kim, A.
Rousse
9.45-10.15 AM
Brendan Reagan
Colorado State University
Advances in High Average Power, 100 Hz Repetition Rate Table-top Soft Xray Lasers
Brendan A. Reagan, Cory Baumgarten, Keith A. Wernsing, Mark Berrill,
Mark Woolston, Lukasz Urbanski, Wei Li, Mario C. Marconi, Carmen S.
Menoni, and Jorge J. Rocca
10.15-10.45 AM
Szymon Suckewer
Princeton University
Possibility of recombination gain increase in CV ions at 4.1nm via
coherence
Y. Luo, A. Morozov, H.Xia D.Gordon, P.Sprangle, A.Svidzinsky, M.Scully, S.
Suckewer
10:45-11.15 AM
Coffee Break
11.15-11.45 AM
Presider:
Ciaran Lewis
Philippe Zeitoun
Laboratoire d’Optique Appliquée (LOA), Campus Polytechnique,
Chemin de la Hunière 91761 Palaiseau, France.
From high harmonic generation to X-ray CPA.
Ph. Zeitoun , S. Daboussi, H. Dacassa, T. T. T. Le, L. Li, B. Mahieu, E. Oliva,
D. Ros, S. Sebban, Y. Wang, S. Wang, L. Yin, B. Hu, and J. J. Rocca
ICXRL 2014 Conference Program and Book of Abstracts
Page 6
Monday May 26, 2014 (Continued)
11:45-12.15 PM
Jaroslav Nejdl for
Institute of Physics ASCR,Czech republic
Michaela Kozlova
Overview of development of laser driven secondary sources at PALS and
ELI
Kozlova M , Nejdl J., M. Albrecht, Sebban S., Gautier J., Ta Phuoc K.,
Klisnick A., Le Marec A., F. Tissandier
12:15-1:45 PM
Lunch
1:45-2:15 PM
Presider:
Szymon Suckewer
Luqi Yuan
Session 2: New X-Ray Sources
Texas A&M University
Generation of coherent radiation at high frequency via LWI and QASER
mechanisms
Luqi Yuan, Anatoly A. Svidzinsky, and Marlan O. Scully
2:15-2:45 PM
Olga Kocharovskaya
Texas A&M University, USA
Extremely short X-ray pulses via interruption of resonant interaction
Vladimir Antonov, Timur Akhmedzanov,Y. V. Radeonychev, Farit Vagizov,
and Olga Kocharovskaya
2:45-3:15 PM
Vyacheslav Shlyaptsev
Colorado State University
Capillary Discharge X-ray Lasers: The Quest for the sub-10nm Lasing
V. N. Shlyaptsev , G. Avaria, M. Grisham, J. Li, F.G. Tomasel, M. Busquet,
M. Woolston, J.J. Rocca
3:15-3:35 PM
Peter Hagelstein
MIT, Cambridge, MA
Charge emission and x-ray emission from a vibrated Cu foil
F. L. Tanzella, P. L. Hagelstein, J. Bao, M. C. H. McKubre
3:35-3:55 PM
Coffee Break
Session 3: High Harmonic and Attosecond Science
3:55-4:25 PM
Presider:
Stéphane Sebban
Stephen Leone
4:25-4:55 PM
Tenio Popmintchev
University of California (LBNL)
Isolated X-ray Attosecond Pulses and The Science of Attosecond
Measurements
Stephen R. Leone
JILA University of Colorado, Boulder
Bright Isolated Attosecond Pulses in the X-ray Regime and Applications
D. Popmintchev, C. Hernández-García, J. A. Pérez-Hernández, M.-C. Chen,
F. Dollar, C. Manusco, X.-M. Tong, D. Romanov, R. Levis, B. Shim, A. Gaeta,
A. Jaron-Becker, A. Becker, L. Plaja, M. M. Murnane, and H. C. Kapteyn, T.
Popmintchev
4:55-5:15 PM
Jozsef Seres
Vienna University of Technology
Attosecond dynamics of parametric amplification at 11 nm
J. Seres , E. Seres, B. Landgraf, B. Ecker, B. Aurand, A. Hoffmann, G.
Winkler, S. Namba, T. Kuehl, and C. Spielmann
ICXRL 2014 Conference Program and Book of Abstracts
Page 7
Monday May 26, 2014 (Continued)
5:15-5:45 PM
Ernst Fill
Max-Planck-Institut für Quantenoptik
Coherent Soft-X-ray pulses at multi MHz repetition rates using
enhancement cavities
E. Fill, I. Pupeza, S. Holzberger, H. Carstens, N. Lilienfein and F. Krausz
5:45-6:05 PM
Patrik Grychtol
Department of Physics and JILA, University of Colorado, Boulder
Generation of high harmonics with circular polarization and their use for
magnetic materials studies
P. Grychtol, O. Kfir•, R. Knut, E. Turgut, D. Zusin, D. Popmintchev, T.
Popmintchev, H. Nembach, J. Shaw, A. Fleicher, H. Kapteyn, M. Murnane
and O. Cohen
6:05-6:25 PM
Zhinan Zeng
Shanghai Institute of Optics and Fine Mechanics, China
Electron motion control in HHG process with multi-color laser field
Zhinan Zeng, Pengfei Wei, Ruxin Li, and Zhizhan Xu
Tuesday May 27, 2014
Session 4: X-Ray Imaging
8:15-8:45
Presider:
Carmen Menoni
Hans Hertz
8:45-9:05
Ilya Kuznetsov
KTH Royal Inst of Technol. Stockholm, Sweden
Liquid-metal-jet electron-impact hard x-ray sources for bio imaging
H.M. Hertz
Colorado State University
Volumetric composition imaging at the nanoscale by soft x-ray laser
ablation mass spectrometry
I.Kuznetsov , J. Filevich, M. Woolston G.L. Gasper, D. Carlton, W. Chao,
E.H. Anderson, E.R. Bernstein, D.C. Crick, J.J. Rocca and C.S. Menoni
9:05-9:25
Dennis Gardner
JILA, University of Colorado, Boulder
Reflection mode imaging with extreme-ultraviolet light from a high
harmonic source
D.F. Gardner, B. Zhang, D.E. Adams, M.D. Seaberg, E.R. Shanblatt, M.
Murnane, H. Kapteyn
9:25-9:45
Mario Marconi
Colorado State University
Time resolved holography in a table top with a table top Soft X-ray Laser
M.C. Marconi, N. Monserud, E. Malm, P. Wachulak, W. Chao
9:45-10:05
Kyoung Hwan Lee
Center for Relativistic Laser Science, Institute for Basic Science
X-ray holography for imaging large specimen with a light source of Ag xray laser
K. H. Lee, H. Yun, J. H. Sung, S. K. Lee, T. M. Jeong, H. T. Kim,
C. H. Nam
10:05-10:25
Coffee Break
ICXRL 2014 Conference Program and Book of Abstracts
Page 8
Tuesday May 27, 2014 (Continued)
Session 5: FEL-based X-Ray Sources
10:25-10:55
Presider:
Philippe Zeitoun
Marco Zangrando
Elettra-Sincrotrone
FERMI: the two-stage seeded soft-X-ray free-electron laser at Elettra
M. Zangrando, E. Allaria, D. Castronovo, P. Cinquegrana, P. Craievich, M.
Dal Forno, M. B. Danailov, G. D'Auria, A. Demidovich, G. De Ninno, S. Di
Mitri, B. Diviacco, W. M. Fawley, M. Ferianis, E. Ferrari, L. Froehlich, G.
Gaio, D. Gauthier, L. Giannessi, R. Ivanov, B. Mahieu, N. Mahne, I. Nikolov,
F. Parmigiani, G. Penco, L. Raimondi, C. Scafuri, C. Serpico, P. Sigalotti, S.
Spampinati, C. Spezzani, M. Svandrlik, C. Svetina, M. Trovò, M. Veronese, D.
Zangrando
10:55-11:25
Nina Rohringer
MPI for Complex Systems
Stimulated X-Ray Raman Scattering with Free-Electron Laser Sources
V. Kimberg, C. Weninger, T. Kierspel, T. Mullins, B. Erk, A. SanchezGonzalez, M. Purvis, D. Ryan, R. Coffee, J. D. Bozek, C. Bostedt, S. Carron
Monterro, A. Lindahl, M. Ilchen, A. Lutman, J. Krzywinski, T. Maxwell, M.
Agaker, C. Sathe, R. Squibb, M. Mucke, V. Zhaunerchyk, R. Feifel, D. Rolles,
R. London, O. Mücke, J. Nordgren, J. J. Rocca, J. Küpper, J.E. Rubensson, N.
Rohringer
11:25-11:55
Martin Beye
11:55-1:15
Lunch
1:15-1:45
Presider:
Tetsuya Kawachi
Hitochi Yoneda
Helmholtz-Zentrum Berlin
Stimulated X-ray Emission for Materials Science
M. Beye, S. Schreck, F. Sorgenfrei, C. Trabant, N. Pontius, C. SchüßlerLangeheine, W. Wurth, A. Föhlisch
Institute for Laser Science, University of Electro-Communications
Generation of hard x-ray laser pumped with x-ray free electron laser
Hitoki Yoneda, Kazuyshi Nagamine, Yuuichi Inubushi, and Makina Yabashi
1:45-2:05
Joseph Nilsen
Lawrence Livermore National Laboratory
Comparing the gain of the Ne K-a inner-shell X-ray laser using the X-FEL
to drive the kinetics with photo-ionization versus photo-excitation
Joseph Nilsen
Session 6: Lithography
2:05-2:35
Presider:
Sasa Bajt
Patrick Naulleau
Center for X-Ray Optics, Berkeley Lab, Berkeley, CA
EUV research at Berkeley Lab: enabling technologies and applications
Patrick P. Naulleau, Christopher N. Anderson, Weilun Chao, Peter Fischer,
Kenneth A. Goldberg, Eric M. Gullikson, Ryan Miyakawa
ICXRL 2014 Conference Program and Book of Abstracts
Page 9
Tuesday May 27, 2014 (Continued)
2:35-2:55
Wei Li
Colorado State University
Defect-free fabrication of periodic structures using Talbot lithography
and a table top Soft X-ray Laser
Wei Li, D. Patel, W. Chao, C.S. Menoni, M.C. Marconi
2:55-3:15
Jenny Tempeler
RWTH Aachen University
High resolution laboratory-scale EUV interference lithography
Jenny Tempeler, Sascha Brose, Serhiy Danylyuk, Peter Loosen, Gregor
Mussler, Detlev Grützmacher, Klaus Bergmann, Larissa Juschkin
3:15-3:35
Ryan Miyakawa
Lawrence Berkeley National Lab
Applications for coherent narrow-band EUV sources in semiconductor
high volume manufacturing
Ryan Miyakawa, Patrick Naulleau
3:35-3:55
Hyun-su Kim
RWTH Aachen University and JARA
Proximity printing and interference lithography with a plasma-based
EUV source
H. Kim, S. Danylyuk, S. Brose, K. Bergmann, and L. Juschkin
3:55-5:15
Poster Session and Coffee Break
Session 7: X-ray Optics
5:15-5:45
Presider:
Alexander Vinogradov
Regina Soufli
5:45-6:15
Saša Bajt
Lawrence Livermore National Laboratory
Recent advances in reflective optics for EUV/x-ray laser sources
Regina Soufli
Photon Sciences, DESY, Hamburg, Germany
X-ray Pulse Compressor
Saša Bajt , Mauro Prasciolu, Henry N. Chapman, Adrian Cavalieri
Wednesday May 28
Session 8: X:Ray Lasers
8:15-8:45
Presider: Chair:
Karol Adam Janulewicz
Annie Klisnick
8:45-9.05
Adrien Depresseux
CNRS/ISMO
Spectral properties of collisional XUV lasers for the amplification of
femtosecond pulses
A. Klisnick , A. Le Marec, L. Meng, O. Larroche. O. Guilbaud, M. Kozlova, J.
Nejdl, A. Calisti
Ecole Polytechnique
Investigation of a circularly-polarized seeded collisionaly-pumped OFI
soft x-ray laser
A. Depresseux , S. Sebban, J. Gautier, F. Tissandier, J.P. Goddet, A. Tafzi, G.
Maynard, E. Oliva, Y. Neijdl, M. Kozlova, Ph. Zeitoun, A. Rousse
ICXRL 2014 Conference Program and Book of Abstracts
Page 10
Wednesday May 28 (Continued)
Session 8 - Continued
Transient collisionally excited x-ray lasers pumped with one long and two
short pulses
D.Ursescu , G. Cojocaru, R. Ungureanu, R Banici, L. Ionel, S. Simion, R.
Dabu, J. Tümmler, R. Jung, H. Stiel, Olivier Delmas, Moana Pittman, Olivier
Guilbaud, Sophie Kazamias, Kevin Cassou, Julien Demailly, Olivier Neveu,
Elsa Baynard, David Ros, Andrea Le Marec, Sameh Daboussi, Li Lu, A.
Klisnick, P. Zeitoun
9:35-9:55
Yushan Luo
9:55-10:15
Coffee Break
10:15-10:45
Presider: Chair:
Joe Nilsen
Oliver Guilbaud
Princeton University
Spectra of CV and CVI ions in “Water Window” created by the
propagation of ultra-intense laser pulses in plasma waveguides
Yushan Luo, Anatoli Morozov, Szymon Suckewer
Paris Sud University
Seeded operation of a Ne-like Titanium soft x-ray laser: beam profile,
density gradient evolution, and path towards higher density gain region
O. Guilbaud, S. Kazamias, K. Cassou, O. Delmas, J. Demailly, O. Neveu, D.
Ros, E. Baynard, M. Pittman, M. Shazad, A. Rossal, G.J. Tallents, A Le
Marec, A. Klisnick, L. Lu, Ph. Zeitoun, G.V. Cojocaru, R. G. Ungureanu, R.
A. Banici, D. Ursescu
10:45-11:15
Karol Adam Janulewicz
Department of Physics and Photon Science, Gwangju Institute of Science
and Technology, Gwangju, Rep. of Korea
Output beam polarisation of X-ray Lasers with transient inversion"
K. A. Janulewicz, C. M. Kim, B. Matouš, H. Stiel, M. Nishikino, N.
Hasegawa, T. Kawachi
11:15-11:35
Eduardo Oliva
11:35-12:05
12:30- Evening
Laboratoire de Physique des Gaz et des Plasmas
Modeling of a high density Ni-like Kr amplifier seeded with high
harmonics
E. Oliva, B. Paradkar, A. Depresseux, F. Tissandier, S. Sebban and G.
Maynard
Jim Dunn Work and Life Celebration
Board Buses (Boxed
Lunches provided)
Rocky Mountain National
Park Excursion
Reception at the Stanley
Hotel in Estes Park,
Colorado
ICXRL 2014 Conference Program and Book of Abstracts
Page 11
Thursday May 29
Session 9: Relativistic Phenomena
8:15-8:45
Presider:
Greg Tallents
Ciaran Lewis
8:45-9:05
Brendan Dromey
Queen’s University Belfast
Relativistic Mirrors from Nanoscale Foils
C. L. S. Lewis, D. Kiefer, M. Yeung, T. Dzelzainis, S. G. Rykovanov, R.
Marjoribanks, H. Ruhl, D. Habs, J. Schreiber, M. Zepf and B. Dromey
Department of Physics and Astronomy, Queen’s University Belfast, UK
The role of plasma scalelength in the generation of coherent synchrotron
emission from relativistic laser plasmas
B. Dromey , D. Jung, M. Yeung, T. Dzelzainis, S. G. Rykovanov, H. Ruhl, D.
Habs, J. Schreiber, C. L. S. Lewis, M. Zepf
9:05-9:25
M. Yeung
Helmholtz-Institut Jena
Polarization Gating in Relativistic Laser-Solid Interactions
M. Yeung, M. Zepf, B. Dromey, S. Cousens, M. Coughlan, T. Dzelzainis, S.
Rykovanov, J. Bin, W. Ma, C. Kreuzer, D. Kiefer, J. Schreiber, J. Meyer-terVehn, P. Foster, M. Streeter, C. Rödel, J. Bierbach, S. Kuschel, E. Eckner, G.
Paulus
9:25-9:45
Igor Pogorelsky
Brookhaven National Laboratory
Progress and prospects of a Compton x-ray source driven by a highpower CO2 laser
Igor Pogorelsky
9:45-10:05
Jorge Rocca
Colorado State University
X-ray Generation in Ultra-High Energy Density Relativistic Plasmas by
Ultrafast Laser Irradiation of Nanowire Arrays
Michael. A. Purvis,Vyacheslav N. Shlyaptsev, Reed Hollinger, Clayton
Bargsten, Alexander Pukhov, David Keiss, Amanda Towsend, Yong Wang,
Shoujun Wang, Liang Yin, Amy Prieto, Mark Berrill, Bradley Luther, Jorge. J.
Rocca
10:05-10:25
Coffee Break
10:25-10:55
Presider:
Annie Klisnick
Hyung Taek Kim
10:55-11:15
Elizabeth Shanblatt
Session 10- Imaging II
Advanced Photonics Research Institute
Development of x-ray sources using intense laser pulses and its
applications to x-ray microscopy
H. Kim, S. Danylyuk, S. Brose, K. Bergmann, and L. Juschkin
JILA University of Colorado
Keyhole reflection-mode coherent diffractive imaging of nano-patterned
surfaces using a tabletop EUV source
Elisabeth Shanblatt, Matthew Seaberg, Bosheng Zhang, Dennis Gardner,
Margaret Murnane, Henry Kapteyn, Daniel Adams
ICXRL 2014 Conference Program and Book of Abstracts
Page 12
Thursday May 29 (Continued)
11:15-11:35
Carmen Menoni
Colorado State University
Nanoscale Imaging with Table-top soft X-ray Laser
C.S. Menoni, J. Nejdl, N. Monserud, I. D. Howlett1, D. Carlton, E.H.
Anderson, W. Chao, M. C
11:35-12:05
Holger Stiel
Nanoscale imaging using coherent and incoherent laboratory based soft xray sources
H. Stiel , A. Dehlinger, K.A. Janulewicz, R. Jung, H. Legall, C. Pratsch, S.
Rehbein, C. Seim, J. Tümmler
12:05-12:25
Shoujun Wang
Colorado State University
Diffraction Grating Interferometer for Single-shot Soft-x-ray Laser
Linewidth Measurement
S. Wang, Y. Wang, L. Yin, M. Berrill, M. Marconi, O. Martinez, J. Dunn, J.J.
Rocca
12:25-1:45
Lunch
1:45-2:05
Presider:
Hiroyuki Daido
Li Lu
2:05-2:25
Andrea Le Marec
ISMO, CNRS
Spectral and coherence properties of the PALS X-ray laser
A. Le Marec, L. Meng, M. Kozlova, J. Nejdl, F. Tissandier, O. Guilbaud and
A. Klisnick
2:25-2:45
Liang Yin
Colorado State University
Single-shot Soft-x-ray Laser Linewidth Measurements with a Grating
L. Yin, Y. Wang, S. Wang, M. Berrill2, M.C. Marconi, O. Martinez, J. Dunn,
J.J. Rocca
2:45-3:15
Liming Chen
Institute of Physics CAS
Study of Laser-driven Betatron Radiation Source in IOP
Liming Chen, W. C. Yan, Y. Ma, Z. M. Sheng, J. Zhang
3:15-3:35
Robert Jung
Max-Born-Institute
Thin disk lasers with high pulse energy at high average power
R. Jung , J. Tuemmler, Th. Nubbemeyer, I. Will. H. Stiel, D. Kandula, M.
Vrakking
3:35-4:05
Alexander Vinogradov
Lebedev Physical Institute
On the direct and inverse problems in coherent reflection imaging of tilted
objects
I. A. Artyukov, R. M. Feshchenko, N. L. Popov, A. V. Vinogradov
4:05-4:15
4:15-6:00
7:15
Coffee
Lab Tours
Banquet
Session 11: Characterization, Pump Lasers and Optics
Ecole Polytechnique
Wave front measurement of seeded soft x-ray laser with solid-plasma
amplifier
L. Li, Y. Wang, S. Wang, E. Oliva, L. Yin, T. T. T. Le, S. Daboussi, D. Ros, G.
Maynard, S. Sebban, B. Hu, J. J. Rocca, and Ph. Zeitoun
ICXRL 2014 Conference Program and Book of Abstracts
Page 13
Friday May 30
Session 12- X-Ray Laser Material Interactions
8:15-8:45
Presider:
Mario Marconi
Greg Tallents
8:45-9:05
Libor Juha
Institute of Physics ASCR
Surface micro(nano)structuring using extreme ultraviolet and soft x-ray
lasers
Libor Juha, Karel Kolacek
9:05-9:35
Henryk Fiedorowicz
Military University of Technology
Application of laser plasma sources of soft X-rays and extreme ultraviolet
in imaging, processing materials and photoionization studies
H. Fiedorowicz , I.U. Ahad, A. Bartnik, T. Fok, R. Jarocki, B. Korczyc, J.
Kostecki, A. Szczurek, M. Szczurek, p. Wachulak, Ł. Węgrzyński
9:35-10:05
Elliot Bernstein
CSU Chemistry
Neutral Cluster Mass Spectrometry with a 46.9 nm (26.43 eV) Laser
E. R. Bernstein
10:05-10:25
Coffee Break
10:25-10:55
Presider:
Henryk Fiedorowicz
Nikishino Masaharu
University of York
X-ray laser probing of laser-plasma interactions
G J Tallents, A Rossall V Aslanyan, M Shahzad, L A Wilson, O Guilbaud, S
Kazamias, M Pittman, K Cassou and D Ros
Japan Atomic Energy Agency
Observation of Weakly Excited Ablation Dynamics with Femtosecond
Laser by using Time-Resolved Soft X-ray Imaging Technique
M. Nishikino , N. Hasegawa, T. Eyama, N. Kakimoto, T. Tomita, D. Hatomi,
N. Ohnishi, A. M. Ito, Y. Minami, M. Baba, T. Kawachi, M. Yamagiwa, and
T. Suemoto
10:55-11:15
Andrew Rossall
York Plasma Institute
Rapid calculation of scattering factors for partially ionized plasmas in the
EUV
A. K. Rossall, G. J. Tallents
11:15-11:35
Hiroyuki Daido
Japan Atomic Energy Agency
Transmission measurement for highly transparent metallic sodium in the
Extreme ultraviolet spectral range: new application of an intense EUV
sources
Hiroyuki Daido, Yoji Suzuki, Tetsuya Kawachi, Alexander S. Pirozhkov
11:35-11:55
Ludek Vysin
Institute of Physics AS CR
XUV capillary-discharge laser-induced damage to biomolecular systems:
from DNA plasmids to biomembranes and cell surfaces
Ludek Vysin, Libor Juha, Marie Davidkova, Jorge J. Rocca
11:55-12:10
Recap
12:10
Conference Ends
ICXRL 2014 Conference Program and Book of Abstracts
Page 14
Abstracts
ICXRL 2014 Conference Program and Book of Abstracts
Page 15
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Progress and Prospects of Coherent X-ray Research using High Power Lasers in JAEA
Authors: T. Kawachi1, M. Nishikino1, A. Sasaki2, M. Ishino1, N. Hasegawa1, T. Imazono1, T. A.
Pikuz1, A. Y. Faenov2, M. Magnitskiy3, M. Maruyama1, T. Tomita4, T. Suemoto5, A. S.
Pirozhkov1, S. V. Bulanov1, H. Kiriyama1, M. Kando1, M. Yamagiwa1, K. Kondo1, P. Bolton1
and Y. Kato6
Author Affiliation:
[1] Quantum Beam Science Directorate, Japan Atomic Energy Agency (JAEA)
[2] Joint Institute for High Temperature, Russian Academy of Science
[3] M. V. Lomonosov Moscow State University
[4] Faculty of Engineering, University of Tokushima
[5] Institute of Solid State Physics (ISSP), University of Tokyo
[6] Graduate school for Photonics and Industries (GPI)
Presenter: Tetsuya Kawachi
Presentation Type: Oral
Abstract:
Short pulse x-ray sources become indispensable diagnostic tools in modern science and
technology and are widely used in probing substances for new material development, protein
crystallography in innovative drug development, and non-destructive x-ray imaging etc. The
improvement of the sources is also important subject, and in particular coherent x-rays in both
the laser-based and accelerator-based are intensively studied, which enable us to achieve quite
high spatial-resolution as the probe and quite intense x-ray as the pump. Besides the laser-driven
sources have potentials to downsizing and ultra-short duration toward atto-second region,
therefore we carry out the coherent x-ray research involving development of soft x-ray lasers
(SXRLs) and higher order harmonics generation (HHG) and their applications.
In the presentation, we show several new results in the coherent x-ray researches and our future
plan. In the source development, the observation of x-ray mirage1) in the gain medium plasma of
the 13.9 nm SXRL, which is strongly related to the temporal evolution of electron density profile
of gain region, and recent progress of HHG from relativistic plasma are presented. As the
application study, we show the surface dynamics of femto-second laser ablation of metal
observed by the SXRL interferometer and SXRL reflectometer2). Finally we mention the
prospects for the future: By the financial support from government, we have started upgrade of
the ultra-intense Ti:Sapphire laser, “J-KAREN”, toward PW level in order to develop coherent xray source in keV region and high energy particle beams. By use of this “J-KAREN-P” together
with the high average power pumping source developed separately in JAEA, we will explore the
possibility of ultrashort-pulse short-wavelength lasers and open up new applications of high
average power coherent x-rays.
References 1) Magnitskiy et al. Nature Communications 4, 1936 (2013). 2) Tomita et al. Optics
Express 20, 29329 (2012).
ICXRL 2014 Conference Program and Book of Abstracts
Page 16
ICXRL 2014 Conference Program and Book of Abstracts
Page 17
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Progress on collisionaly-pumped OFI soft x-ray laser at LOA
Authors: S. Sebban1, J. Gautier1, F. Tissandier1, A. Depresseux1, J.P. Goddet1, A. Tafzi1, G.
Maynard2, E. Oliva2, Y. Neijdl3, M. Kozlova3, Ph. Zeitoun1, Hyung Taek Kim4, A. Rousse1
Author Affiliation:
[1] Laboratoire d’Optique Appliquée (LOA),
Campus Polytechnique, Chemin de la Hunière 91761 Palaiseau, France.
[2] Laboratoire de Physique des Gaz et Plasmas (LPGP), CNRS-Université Paris Sud 11,
91405 Orsay, France.
[3] ELI Beamlines Project, Institute of Physics of the ASCR, Na Slovance 2, 182 21 Prague
8, Czech Republic.
[4] Hyung Taek Kim, Advanced Photonics Research Institute, GIST, Gwangju 500-712,
Korea
[5]
Presenter: S. Sebban
Presentation Type: Oral
Abstract:
Thanks to the most recent works on x-ray laser and on high order harmonics (HHG), it is now
possible to produce a 10 Hz soft x-ray energetic laser beam having very high optical qualities.
The solution consists in seeding the XRL amplifier medium by a HHG beam. This concept was
successfully realized in LOA and an extensive investigation of the source have been performed.
Here we present experimental and numerical results on the spatial and spectral characterization
of a Ni-like krypton laser seeded by a harmonic beam.
ICXRL 2014 Conference Program and Book of Abstracts
Page 18
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: High Average Power, 100 Hz Repetition Rate Table-top Soft X-ray Lasers
Authors: Brendan A. Reagan1,2, Cory Baumgarten1,3, Keith A. Wernsing1,2, Mark Berrill1,2,
Mark Woolston1,2, Lukasz Urbanski1,2, Wei Li1,2, Mario C. Marconi1,2, Carmen S. Menoni1,2,
and Jorge J. Rocca1,2,3
Author Affiliation:
[1] NSF ERC for Extreme Ultraviolet Science and Technology
[2] Department of Electrical Engineering, Colorado State University, Fort Collins, CO
[3] Department of Physics, Colorado State University, Fort Collins, CO
Presenter: Brendan A. Reagan
Presentation Type: Oral
Abstract:
The demonstration of a high repetition rate, table-top soft x-ray laser operating at wavelengths
from 10.9 nm to 18.9 nm will be discussed. These results include the generation of 0.15 mW
average power at λ = 18.9nm, 0.1 mW at λ = 13.9nm, and 20 μW at λ = 11.9nm. These lasers
were driven by a compact chirped pulse amplification laser system featuring diode-pumped,
cryogenically-cooled Yb:YAG power amplifiers that produces 1 Joule, 5 ps FWHM duration
pulses at 100 Hz repetition rate. Additionally, tailoring the driver laser pulse shape was
demonstrated to more efficiently pump soft x-ray plasma amplifiers operating at sub-15nm
wavelengths leading to a threefold increase in the λ = 13.9nm laser pulse energy. Hydrodynamic
/ atomic plasma simulations show that the pump pulse profile consisting of a nanosecond ramp
followed by two closely spaced peaks of picosecond duration, creates a plasma with an increased
density of Ni-like ions at the time of peak temperature resulting in a larger gain coefficient over a
temporally and spatially enlarged space. Optimization of these high repetition rate lasers
combined with the development of high shot capacity, rotating targets has allowed the
uninterrupted operation of the λ = 18.9nm soft x-ray laser for hundreds of thousands of
consecutive shots making it suitable for a number of applications in nanoscience and
nanotechnology that require high photon flux at short wavelengths. As a proof-of-principle
demonstration of the utility of this laser we have lithographically printed an array of nanometerscale features through coherent Talbot self imaging.
ICXRL 2014 Conference Program and Book of Abstracts
Page 19
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Possibility of recombination gain increase in CV ions at 4.1nm via coherence
Authors: Y. Luo1, A. Morozov1, H.Xia1,2 D.Gordon3, P.Sprangle3, A.Svidzinsky1,2, M.Scully1,2 ,
S. Suckewer1
Author Affiliation:
[1] Princeton Univ
[2] Texas A&M Univ
[3] Naval Research Lab(DC)
Presenter: Szymon Suckewer
Presentation Type: Oral
Abstract:
We will discuss the possibility of developing an X-ray laser (XRL) in the “water window”
(2.3nm - 4.4nm) utilizing the recombination scheme in He-like CV ions in transition to the
ground state. The crucial issue for the XRL development is the propagation of very high intensity
ultra-short pumping pulses in plasma channels having very small diameters and large aspect
ratios (details in Y.Luo talk [1]). Propagating 100 fsec pulses at intensities of ~1x1018 W/cm2
have shown a strong increase in the intensity ratio of the CV 4.1 nm line (2-1 transition) in
comparison to other spectral CV and CVI lines in the “water window”, indicating a possibility of
gain generation [2]. Further research toward significant increase of CV 4.1 nm line intensity
(gain ?) by increasing plasma channel length from present L ≈ 0.5 mm up to 2 mm will be
discussed, as well.
The gain increase of the CV 4.1 nm line via Lasing Without Inversion will also be discussed,
both from theory and experimental points of view [3].
Progress of the Fsec Plasma Laser (FPL) based on Stimulated Raman Backscattering (SRBS)
for potential application to compact XRLs in the “water window” will be presented in the
concluding remarks.
References:
[1] Y. Luo, et al., “Transmission of fs laser pulses in plasma waveguides”, in preparation
[2] S. Suckewer, et al., “Possibility of gain increase in CV ions at 4.1nm via coherence in table –
top system”, in preparation
[3] H. Xia, et al., “Observing Superradiant Decay of Excited-State Helium Atoms Inside
Helium
Plasma”, Phys. Rev. Lett. 109 (2012)
ICXRL 2014 Conference Program and Book of Abstracts
Page 20
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: From high harmonic generation to X-ray CPA.
Authors: Ph. Zeitoun1, S. Daboussi1, H. Dacassa1, T. T. T. Le3, L. Li1,4, B. Mahieu1, E. Oliva3,
D. Ros3, S. Sebban1, Y. Wang,2 S. Wang,2 L. Yin2, B. Hu4, and J. J. Rocca2,
Author Affiliation:
[1] Laboratoire d’Optique Appliquée, ENSTA ParisTech, CNRS, Ecole Polytechnique,
Palaiseau 91120, France
[2] National Science Foundation Engineering Research Center for Extreme Ultraviolet
Science and Technology,Colorado State University, Fort Collins, Colorado 80523, USA
[3] Laboratoire de Physique des Gaz et des Plasmas, Université Paris XI, Orsay Cedex,
France
[4] School of Nuclear Science and Technology, Lanzhou University, Lanzhou 730000, China
Presenter: P. zeitoun
Presentation Type: Oral
Abstract:
We will present an overview of the study achieved at LOA on the development of high harmonic
generation for seeding experiments, wave front measurements of X-ray mirrors and for probing
high-density plasmas. We will pay special attention on x-ray Chirped Pulse Amplification
modeling with full spectral calculation. We will also discuss about the influence of ASE on pulse
duration measurements
ICXRL 2014 Conference Program and Book of Abstracts
Page 21
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Overview of development of laser driven secondary sources at PALS and ELI
Authors: Kozlova M1,2., Nejdl J. 1,2, M. Albrecht1,5, Sebban S. 1,3, Gautier J. 1,3, Ta Phuoc K. 1,3,
Klisnick A. 1,4, Le Marec A. 1,4, F. Tissandier3
Author Affiliation:
[1] IoP ASCR, Na Slovance 2, Prague 8, 182 00, Czech republic
[2] IPP ASCR, Za Slovankou 3, Prague 8, 182 00, Czech republic
[3] LOA, ENSTA, Chemin de la Hunière, 91761 PALAISEAU cedex, France
[4] ISMO,Uni. Paris Sud, France
[5] FNSPE of the CTU in Prague, Czech republic
Presenter: Kozlova
Presentation Type: Oral
Abstract:
In this paper we report on development of the secondary sources at the PALS Centre and discuss
the plan for the ELI Beamlines project. The spatial and temporal coherence of the most energetic
quasi-steady state Ne-like Zn X-ray laser, which is operated at PALS Centre as standard user
beamline, was examined proving that amplification of coherent EUV pulses with duration below
1ps will be possible. Meanwhile, the first transient lasing at PALS Center was achieved using
10Hz Ti: Sapphire laser chain with peak power of 20TW as a driver. Finally, we discuss the
recent design of laser driven secondary sources generating short coherent or incoherent EUV/xray pulses within the ELI Beamlines.
ICXRL 2014 Conference Program and Book of Abstracts
Page 22
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Generation of coherent radiation at high frequency via LWI and QASER mechanisms
Authors: Luqi Yuan1, Anatoly A. Svidzinsky1, and Marlan O. Scully1,2,3
Author Affiliation:
[1] Texas A&M University, College Station TX 77843
[2] Princeton University, Princeton, NJ 08544
[3] Baylor University, Waco, TX 76798
Presenter: Luqi Yuan
Presentation Type: Oral
Abstract:
Generation of high frequency (e.g. XUV or x-ray) coherent radiation utilizing a low frequency
(e.g. infrared) drive has been the subject of substantial theoretical and experimental study.
However, in such frequency region, excitation of atomic transitions typically requires a plasma
medium with rapid collisions and population inversion is hard to achieve. Lasing without
inversion (LWI), occurring due to quantum coherence, could be useful for making lasers at
shorter wavelength. We investigate LWI in transient regime which allows us to utilize coherence
effects on a time scale shorter than fast collision time. In particular, we find that transient LWI is
possible in the V-scheme in which the low-frequency transition is coherently driven by a field
with Rabi frequency exceeding the decoherence rate [1,2]. Some atomic population in the
excited state is required here. We further present a new kind of light amplifier (called the
QASER) based on collective parametric resonance which does not need any population in the
excited state and generates high frequency coherent radiation by driving an atomic ensemble
with a much smaller frequency [3]. The amplification mechanism of the QASER is governed by
the difference combination parametric resonance which occurs when the driving field frequency
matches the frequency difference between two normal modes of the coupled light atom system.
Both mechanisms hold promise for coherent light generation in XUV or X-ray regions.
References
[1] Anatoly A. Svidzinsky, Luqi Yuan, and Marlan O. Scully, New Journal of Physics, 15,
053044 (2013).
[2] Luqi Yuan, Dawei Wang, Anatoly A. Svidzinsky, Hui Xia, Olga Kocharovskaya, Alexei
Sokolov, George R. Welch, Szymon Suckewer, and Marlan O. Scully, Physical Review A, 89,
013814 (2014).
[3] Anatoly A. Svidzinsky, Luqi Yuan, and Marlan O. Scully, Physical Review X, 3, 041001
(2013).
ICXRL 2014 Conference Program and Book of Abstracts
Page 23
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Extremely short X-ray pulses via interruption of resonant interaction
Authors: Vladimir Antonov2, 1 , Timur Akhmedzanov1,Y. V. Radeonychev2, 1, Farit Vagizov1,3,
and Olga Kocharovskaya1
Author Affiliation:
[1] Department of Physics & Astronomy, Texas A&M University, USA
[2] Institute of Applied Physics RAS and N.I. Lobachevsky State University of Nizhny
Novgorod, Russian Federation
[3] Kazan Federal University and Kazan Physical Technical Institute, RAS, Russian
Federation
Presenter: Olga Kocharovskaya
Presentation Type: Oral
Abstract: A method to produce extremely short XUV or X-ray pulses via interruption of
resonant interaction has been suggested recently [1-6]. Namely, the method is based on
transformation of the incident quasi-monochromatic XUV or X-ray radiation into extremely
short pulses during the propagation through the resonant absorbing medium when the frequency
or decay rate of the resonant atomic/nuclear transition is deeply modulated. Modulation of the
XUV atomic transition frequency can be achieved via sub-cycle Stark shift produced by a strong
control IR field [1, 4], while modulation of the X-ray recoilless nuclear transition can be realized
via vibration of the absorbing medium due to the Doppler effect [5]. Modulation of the decay
rate can be produced via tunnel or barrier-suppressed ionization from an excited state of the
resonant transition [2, 3]. The possibility to form both trains [1, 4] and isolated attosecond XUV
pulses [3, 6] in the hydrogen-like or noble gases is predicted. Trains of nearly transform-limited
14.4 keV X-ray nanosecond pulses, corresponding to the frequency combs with the phasematched spectral components have been produced [5]. The possibility to efficiently manipulate
the waveform of a single 14.4 keV photon is also experimentally demonstrated [5].
References
1. Y.V. Radeonychev, V.A. Polovinkin, O.Kocharovskaya, Phys. Rev. Lett. 105, 183902
(2010).
2. V.A. Polovinkin, Y.V. Radeonychev, O. Kocharovskaya, Opt. Lett., 36, 2296 (2011).
3. V.A. Antonov, Y.V. Radeonychev, O. Kocharovskaya, Phys. Rev. Lett. 110, 213903
(2013).
4. V.A. Antonov, Y.V. Radeonychev, O. Kocharovskaya, Phys. Rev. A 88, 053849 (2013).
5. F. Vagizov, V. Antonov, Y.V. Radeonychev, R.N. Shakhmuratov, O. Kocharovskaya,
Nature, DOI 10.1038/nature13018.
6. T. Akhmedzhanov, V. Antonov, and O. Kocharovskaya, unpublished.
ICXRL 2014 Conference Program and Book of Abstracts
Page 24
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Capillary Discharge X-ray Lasers: The Quest for the sub-10nm Lasing
Authors: V. N. Shlyaptsev1,2, G. Avaria1,2, M. Grisham1,2, J. Li1,2, F.G. Tomasel2,3, M.
Busquet4, M. Woolston1,2, J.J. Rocca1,2,5
Author Affiliation:
[1] NSF ERC for Extreme Ultraviolet Science and Technology
[2] Dept. of E.&C Eng., Colorado St. University, Ft. Collins, CO
[3] Advanced Energy Industries, Fort Collis, CO
[4] ARTEP Inc., Ellicott City, MD
[5] Department of Physics, Colorado State University, Fort Collins, CO
Presenter: V. N. Shlyaptsev
Presentation Type: Oral
Abstract:
It is two decades this year since the first demonstration of soft x-ray lasing in a plasma created
by an electric discharge at Colorado State University [1]. These very compact lasers have
enabled numerous applications on a table-top, including nano-scale imaging, defect-free nanopatterning, single-photon ionization mass spectrometry of clusters and molecules, the
development of analytic nano-probes, nano- machining, and dense plasma interferometry. We
will outline what we know and don't know about these discharges, discuss engineering,
experimental and modeling difficulties associated with these unique devices.
The latest modeling and experimental results in a novel capillary discharge design to obtain a
very high temperature plasma injecting ultrafast high current pulses into capillary channels with
diameters an almost order of magnitude smaller than those previously used (microcapillaries)
will be presented in relation to the possibility of developing sub-10 nm wavelength capillary
lasers. In comparison to previous practice extremely high degrees of ionization and unusually
high temperatures were obtained in ultra-fast micro-capillary discharges, surpassing the
parameters obtained in all previous designs. A high degree of ionization, Xe28+, was obtained in
discharges in Xe gas. The results were obtained with record small currents ~40 kA versus
previous 200 kA. The results are a first step towards demonstrating lasing at 10 nm in Ni-like Xe
in a discharge-created plasma.
Work supported by NSF Award PHY-1004295
References: J.J.Rocca, V.Shlyaptsev, F.G.Tomasel, O.D.Cortázar, D.Hartshorn, and
J.L.A.Chilla. “Demonstration of a Discharge Pumped Table-Top Soft-X-Ray Laser”, Phys. Rev.
Lett. 73, 2192 (1994)
ICXRL 2014 Conference Program and Book of Abstracts
Page 25
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Charge emission and x-ray emission from a vibrated Cu foil
Authors: F. L. Tanzella1, P. L. Hagelstein2, J. Bao1, M. C. H. McKubre1
Author Affiliation:
[1] SRI, Menlo Park, CA
[2] MIT, Cambridge, MA
Presenter: P. L. Hagelstein
Presentation Type: Oral
Abstract:
Collimated x-ray emission near 1.5 keV was reported by Karabut at the Luch Institute in
Moscow region in experiments with a high-current glow discharge since 2002. We wondered
for years how this radiation might be produced. Theoretical work on other problems suggested
that it might be possible to up-convert a very large number of vibrational quanta to produce
nuclear excitation. The lowest energy nuclear excited state in all the stable nuclei is an M1/E2
accessible state at 1565 eV in Hg-210. To test the idea, we vibrated a Cu plate, and measured
first for charge emission; a large signal was seen consistent with electron emission. With Hg on
the back surface, we saw x-ray emission near 1.5 keV, and lower energy emission perhaps
consistent with N-O transitions in Hg II; with no Hg on the back surface, no x-ray emission is
observed.
ICXRL 2014 Conference Program and Book of Abstracts
Page 26
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Isolated X-ray Attosecond Pulses and The Science of Attosecond Measurements
Authors: Stephen R. Leone1
Author Affiliation:
[1] Departments of Chemistry and Physics, and Lawrence Berkeley National Laboratory,
Berkeley, CA 94720
Presenter: Stephen R. Leone
Presentation Type: Oral
Abstract:
High-order harmonics of an 800 nm pulsed Ti:sapphire laser are used to produce isolated
attosecond pulses for pump-probe time dynamic measurements. Several methods to generate
isolated attosecond pulses are discussed and the applicable techniques to utilize them for
scientific investigations in gases and solids are considered. A primary method of attosecond
transient absorption measurements [1,2] is described in more detail and applied to measure
electronic coherences in atoms, silicon band gap renormalization, and autoionization decay of
atomic states. An assessment is made of the future of pump-probe dynamical measurements in
the attosecond time regime [3].
The extreme ultraviolet attosecond pulses are generated by focusing intense 800 nm pulses into
Ar or Ne, to produce high order harmonic photons with energies up to 100 eV. By using
methods such as double optical gating, which is a combination of polarization gating and twocolor gating, isolated attosecond pulses are robustly produced. With a combination of filters,
selected regions of the attosecond continuum are used to probe atomic and solid-state dynamics
when combined with a pump pulse to initiate dynamics or manipulate the polarization of the
medium induced by the isolated attosecond pulse. Isolated attosecond pulses of 100-400 as
duration are typical, with bandwidths of 10-20 eV. New investigations involve the development
of methods to explore electronic coherences in Ne [to be published], the decay times of
autoionizing states [4] and the renormalization of the band gap in single crystal silicon [to be
published].
References (as needed) Format: Author, Publication, Volume, Issue (year)
[1] Z.-H. Loh, and S. R. Leone, "Capturing ultrafast quantum dynamics with femtosecond and
attosecond x- ray core-level absorption spectroscopy," J. Phys. Chem. Lett. 4, 292 (2013). [2]
E. Goulielmakis, Z.-H. Loh, A. Wirth, R. Santra, et al., "Real-time observation of valence
electron motion," Nature 466, 739 (2010). [3] S. R. Leone, C. W. McCurdy, and J. Burgdörfer,
et al. "What will it take to observe processes in 'real time'," Nature Photonics 8, 162 (2014). [4]
B. Bernhardt, A. R. Beck, X. Li, et al. "High-spectral-resolution attosecond absorption
spectroscopy of autoionization in xenon," Phys. Rev. A 89, 023408 (2014).
ICXRL 2014 Conference Program and Book of Abstracts
Page 27
ICXRL 2014 Conference Program and Book of Abstracts
Page 28
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Bright High Harmonic Generation in the X-ray Regime Driven by Ultraviolet – to – Midinfrared Lasers
Authors: D. Popmintchev1, C. Hernández-García1,2, J. A. Pérez-Hernández3, M.-C. Chen3, F.
Dollar1, C. Manusco1, X.-M. Tong4, D. Romanov5, R. Levis5, B. Shim6, A. Gaeta7, A. JaronBecker1, A. Becker1, L. Plaja2, M. M. Murnane1, and H. C. Kapteyn1, T. Popmintchev1
Author Affiliation:
[1] Department of Physics and JILA, University of Colorado, Boulder, CO 80309, USA
[2] Grupo de Investigación en Óptica Extrema, Universidad de Salamanca, Salamanca
E37008, Spain
[3] Centro de Láseres Pulsados CLPU, Salamanca, Spain
[4] University of Tsukuba, Japan
[5] Temple University, Philadelphia, PA 19122, USA
[6] Binghamton University, State University of New York, Binghamton, NY 13902, USA
[7] School of Applied and Engineering Physics, Cornell University, Ithaca, NY 14853, USA
Presenter: Tenio Popmintchev
Presentation Type: Oral
Abstract:
Generating a coherent laser-like beam of VUV, EUV or X-ray light using the high order
harmonic generation process (HHG) is an extreme case of nonlinear optics, not-only because of
the very high-order nonperturbative nature of the nonlinearity, but also because ionization and
transient nonlinearities profoundly influence the propagation of the femtosecond laser that drives
the process. A simple understanding of fully phase matched upconversion in extreme nonlinear
optics is that phase matching is a dynamic process that happens in a temporal window within the
driving laser pulse when the index of refraction of a partially ionized gas is ~1, and the driver
and the generated signal travel at the same phase velocity. This phenomenon dictates that,
counterintuitively, the generation of bright short-wavelength HHG light in the X-ray region of
the spectrum at >1 keV requires long-wavelength mid-infrared lasers. [ref] Prior to this
understanding, bright attosecond pulses driven by widely available near-infrared Ti:Sapphire
lasers were limited to the EUV region of the spectrum, which restricted the range of materials,
liquid, and molecular systems that could be explored because of the limited penetrating power.
Here, first, we show that longer-pulse duration mid-infrared lasers are also optimal for
generating shorter-pulse-duration, attosecond, X-rays. This is an unexpected and beautiful
convergence of physics: bright, X-ray high harmonics naturally emerge as isolated attosecond
bursts. Second, a more recent work has revealed a new regime of efficient HHG upconversion up
to the “water window” of the X-ray region where more than the index of just the neutral atoms
and the free electrons is important. HHG driven by intense UV lasers exhibits relatively high
upconversion efficiency in regimes where the nonlinear medium becomes multiply ionized and
the index of refraction of ions can counterbalance that of the free electrons.
ICXRL 2014 Conference Program and Book of Abstracts
Page 29
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Attosecond dynamics of parametric amplification at 11 nm
Authors: J. Seres,1,2 E. Seres,1,2,3,4 B. Landgraf,1,4 B. Ecker,4,5, B. Aurand,4,5,7 A. Hoffmann1, G.
Winkler,2 S. Namba,6 T. Kuehl,4,5 and C. Spielmann1,4
Author Affiliation:
[1] IOQ, Friedrich Schiller University, Jena, Germany
[2] ATI, Vienna University of Technology, Vienna, Austria
[3] Wolfgang Pauli Institute, Vienna, Austria
[4] Helmholtz Institute Jena, Jena, Germany
[5] GSI Helmholtz Centre for Heavy Ion Research, Darmstadt, Germany
[6] Graduate School of Engineering, Hiroshima University, Hiroshima, Japan
[7] Department of Physics, Lund University, Lund, Sweden
Presenter: J. Seres
Presentation Type: Oral
Abstract:
Intense short laser pulses focused into gases lead to the generation of coherent femtosecond and
even attosecond extreme ultraviolet pulses via high-order harmonic generation. The generated
beam has exceptional beam quality and the limited pulse energy can be boosted in plasma
amplifiers at selected wavelengths matching atomic transitions, however the widths of these
transitions limit the pulse duration to the sub-picosecond range. Alternatively, x-ray parametric
amplification has been successfully implemented [1,2] predicting broadband amplification
suitable for few-femtosecond and sub-femtosecond pulses.
Here we report the first experimental demonstration of the parametric amplification of
attosecond pulse-trains at around 11 nm [3]. The experiment were performed with the 26-fs-long
pulses of the JETI Ti:sapphire laser system (FSU, Jena, Germany) centered around 800 nm. The
beam was focused (f/100) with a spherical mirror (radius of curvature of 6 m). The pulse energy
on target and the far field beam diameter was controlled with an adjustable iris before the
focusing mirror to get the highest possible amplification factor in the amplifier jet without
spoiling the beam profile. The intensity in the focus was fixed to 5×1015 W/cm². The two gas jets
were placed before the focus with adjustable position and distance. Both gas jets had an
interaction length of 3 mm and were, in order to reduce the gas load, operated with a pulsed
valve at 10 Hz, synchronized to the laser pulses.
The seed attosecond pulse-trains were generated in a gas jet supplied with neon gas (few
100 mbars) and were amplified in a separate gas jet supplied with helium gas (few bars). The
seed pulse train should be temporarily synchronized with the laser field in the amplifier. At
correct synchronization, a strong bean profile narrowing due to the amplification (gain-length
product up to 8) in agreement with predictions was observed and by varying the delay, we were
able to measure the durations of the pulses within the train, which were about 0.2 fs.
References
[1] Seres, J. et al. Nature Phys. 6, 455-461 (2010); [2] Seres, J., Seres, E. & Spielmann, C. Phys.
Rev. A 86, 013822 (2012); [3] Seres, J., et al. Sci. Rep. 4, 4254 (2014)
ICXRL 2014 Conference Program and Book of Abstracts
Page 30
ICXRL 2014 Conference Program and Book of Abstracts
Page 31
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Coherent Soft-X-ray pulses at multi MHz repetition rates using enhancement cavities
Authors: E. Fill1,2, I. Pupeza1,2, S. Holzberger1,2, H. Carstens1,2, N. Lilienfein1,2 and F. Krausz1,2
Author Affiliation:
[1] Max-Planck-Institut für Quantenoptik, Hans-Kopfermann-Str. 1, 85748 Garching,
Germany
[2] Ludwig-Maximilians-Universität München, Am Coulombwall 1, 85748 Garching,
Germany
Presenter: E. Fill
Presentation Type: Oral
Abstract:
Enhancement cavities are passive optical resonators which allow increasing the average power
level of multi-MHz pulse trains by several orders of magnitude. Their working principle is based
on coherent stacking of mode-locked laser pulses. An especially fruitful utilization of these
cavities is high harmonic generation (HHG). Due to the low efficiency of this process the pulse
is recycled after each pass through the nonlinear medium. In this way the necessary peak
intensities for converting the near infrared fundamental radiation to the XUV can be achieved.
After reviewing the basics of cavity-enhanced HHG, this talk will concentrate on recent
experiments conducted by our group which extend enhancement cavity harmonics to the soft Xray region1. By enhancing nonlinearly compressed pulses of an Yb-laser ( 1040 nm) and
coupling out the harmonics through a small hole in a mirror, radiation with wavelengths down to
11.5 nm (108 eV) was generated at a repetition rate of 78 MHz. With up to 8 kW of fundamental
laser power circulating in the cavity, average powers of 5.4 µW and 8 pW were coupled out at 38
nm and 12.5 nm respectively.
Applying a novel enhancement cavity design2 our group has recently succeeded in generating
circulating fundamental laser powers of 400 kW with 250 fs pulses and 670 kW at ps pulse
durations3. These results will be used for HHG with higher harmonic powers and at shorter
wavelengths.
A grand goal of these investigations is generating attosecond pulses with repetition rates of tens
of MHz. Such pulses allow fundamental studies in atomic, molecular and solid state physics to
be made.
References
[1] I. Pupeza et al., Nature Photonics 7/7 (2013) 608.
[2] H. Carstens et al., Optics Express 21/9 (2013) 11606.
[3] H. Carstens et al., “Megawatt-scale ultrashort pulses in an enhancement cavity”, Optics
Letters, submitted.
ICXRL 2014 Conference Program and Book of Abstracts
Page 32
ICXRL 2014 Conference Program and Book of Abstracts
Page 33
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Generation of high harmonics with circular polarization and their use for magnetic
materials studies.
Authors: P. Grychtol1, O. Kfir2, R. Knut1,3, E. Turgut1, D. Zusin1, D. Popmintchev1, T.
Popmintchev1, H. Nembach3, J. Shaw3, A. Fleicher2, H. Kapteyn1, M. Murnane1 and O. Cohen2.
Author Affiliation:
[1] [Department of Physics and JILA, University of Colorado, Boulder, CO 80309-0440,
USA ]
[2] [Solid State Institute and Physics Department, Technion, Haifa 32000, Israel]
[3] [Electromagnetics Division, NIST, Boulder, CO 80305, USA]
Presenter: Patrik Grychtol
Presentation Type: Oral
Abstract:
Circularly polarized light in the extreme ultraviolet (EUV) and soft x-ray regions of the
electromagnetic spectrum is extremely useful for exploring chirality-sensitive light-matter
interactions. Specifically, magnetic circular dichroism (XMCD) makes it possible to extract
element-specific information about the magnetic state of matter and its interaction with phononic
and electronic degrees of freedom on femtosecond time scales and nanometer length scales. To
date, circularly polarized EUV and soft x-ray beams were restricted to large-scale electron
storage facilities, such as synchrotrons and x-ray free electron lasers. Such facilities have great
advantages of high peak and average powers in the x-ray region. However, drawbacks include
limited access and temporal resolution, as well as pump-probe timing jitter.
Table-top short-wavelength sources based on high harmonic (HHG) up-conversion of
femtosecond laser pulses represent a complementary and increasingly productive alternative to
large scale sources, due to their unique ability to generate bright, broadband, ultrashort and
coherent light from the UV to the keV region. Tabletop HHG sources have successfully
exploited the resonantly-enhanced magnetic contrast at the M absorption edges of the 3d
ferromagnets Fe, Co and Ni in the EUV region to study element-specific dynamics in magnetic
materials on femtosecond-to-attosecond time scales. These studies have provided novel insights
on ultrafast spin scattering and transport, as well as exchange interaction dynamics, in complex
multi-species magnetic materials. However, these investigations have so far been limited to
linearly polarized HHG, since generating circularly-polarized harmonics has been highly
inefficient, reducing the photon flux to a level that precludes scientific applications.
In this work, we present the first direct approach for generating bright circularly-polarized HHG
under phase-matched conditions, based on a technique that was suggested almost two decades
ago. Surprisingly, although for decades it was assumed that HHG from atoms was bright only
when both the driving laser and HHG fields were linearly polarized, we show that circularlypolarized HHG can be as bright. We also demonstrate the first XMCD measurements using a
tabletop light source at the M absorption edges of Fe, Co and Ni. This experiment thus represents
the first application of circularly-polarized HHG and the first measurement of the helicity of
circularly-polarized harmonics.
ICXRL 2014 Conference Program and Book of Abstracts
Page 34
ICXRL 2014 Conference Program and Book of Abstracts
Page 35
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Electron motion control in HHG process with multi-color laser field
Authors: Zhinan Zeng1, Pengfei Wei1,2, Ruxin Li1,3, and Zhizhan Xu1,3
Author Affiliation:
[1] State Key Laboratory of High Field Laser Physics, Shanghai Institute of Optics and Fine
Mechanics, Chinese Academy of Sciences, Shanghai 201800, China
[2] College of Physics and Electronic Information Engineering, Wenzhou University,
Wenzhou 325035, Zhejiang Province, China
[3] School of Physical Science and Technology, ShanghaiTech University, Shanghai 200031,
China
Presenter: Zhinan Zeng
Presentation Type: Oral
Abstract:
The electron motion during the HHG process is sensitive to the waveform of the electric field.
With multi-color laser field, a single high-order harmonic among the harmonic comb can be
selectively enhanced by using a driving laser field with subcycle waveform control, which is
synthesized by the fundamental 800 nm laser pulse and two controlling laser pulses at 400 and
267 nm with perpendicular polarizations. Such phenomena can be mainly attributed to the intraatomic phase matching realized with the sub-cycle waveform controlled field (Phys. Rev.
Lett.110, 233903(2013)).
References
1. Pengfei Wei, Jing Miao, Zhinan Zeng, Chuang Li, Xiaochun Ge, Ruxin Li, and Zhizhan Xu,
Phys. Rew. Lett. 110, 233903 (2013)
2. R. Bartels, S. Backus, E. Zeek, L. Misoguti, G. Vdovin, I. P. Christov, M. M. Murnane, and H.
C. Kapteyn, Nature (London) 406, 164 (2000).
3. I. P. Christov, R. Bartels, H. C. Kapteyn, and M. M. Murnane, Phys. Rev. Lett. 86, 5458
(2001)
ICXRL 2014 Conference Program and Book of Abstracts
Page 36
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Liquid-metal-jet electron-impact hard x-ray sources for bio imaging
Authors: H. M. Hertz
Author Affiliation:
Dept of Appl. Physics, Royal Inst of Technol. (KTH), 10691 Stockholm, Sweden
Presenter: Hans M Hertz
Presentation Type: Oral
Abstract:
We have introduced a new anode concept for electron-impact x-ray sources, liquid-metal jets.
This regenerative anode allows operation of microfocus x-ray tubes with an electron-beam power
density several orders of magnitude higher than present stationary or rotating anodes. Present
systems typically rely on room-temperature liquid-metal alloys and operate with a 5-20 m spot
size in the 10-160 kV range with up to one order of magnitude higher brightness than state-ofthe-art x-ray micro-focus tubes. We will describe recent developments of high-brightness sources
for 9.2 keV (Ga K) and 24.2 keV (In K) line emission.
The liquid-metal-jet source is suitable for a wide range of diffraction, scattering, and imaging
applications. For bio-imaging, propagation-based phase contrast with high spatial resolution is of
particular interest. Our primary present small-animal imaging applications include highresolution CT, improved tumour demarcation, and micro-angiography. In the latter we employ
CO2-gas as contrast agent and have demonstrated 3D tomography of rat-kidney blood-vessel
network and 2D imaging of <8 m diam blood vessels in mouse ear, all at acceptable dose
levels. Next steps include imaging of tumor angiogenesis. Other applications include imaging of
zebra fish for muscular dystrophy research and micro-focus-source applications of grating-based
phase-contrast methods.
ICXRL 2014 Conference Program and Book of Abstracts
Page 37
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Volumetric composition imaging at the nanoscale by soft x-ray laser ablation mass
spectrometry
Authors: I. Kuznetsov1,2, J. Filevich1,2, M. Woolston1,2, G.L. Gasper 1,2, D. Carlton 1,3, W. Chao
1,3
, E.H. Anderson1,3, E.R. Bernstein1,4, D.C. Crick5, J.J. Rocca1,2,6 and C.S. Menoni1,2,4
Author Affiliation:
[1] NSF Center for Extreme Ultraviolet Science and Technology, Colorado State University
[2] Department of Electrical and Computer Engineering, Colorado State University
[3] Center for X-Ray Optics, Lawrence Berkeley Laboratory
[4] Department of Chemistry, Colorado State University
[5] Department of Microbiology, Immunology and Pathology, Colorado State University
[6] Department of Physics, Colorado State University
Presenter: I. Kuznetsov
Presentation Type: Oral
Abstract:
Laser ablation in combination with mass spectrometry has played an important role in the direct
examination of the chemical composition of complex inorganic and organic samples. Typically a
visible/ultraviolet laser is used to ablate the sample and create ions that when detected enables
the identification of molecular composition. Due to diffraction limits and low ionization
efficiency in molecular solids, this method has achieved at best a micrometer spatial resolution.
We have previously reported the use of a 46.9 nm compact table-top soft x-ray laser in the
implementation of a soft x-ray laser ablation mass spectrometry (XLAMS) nanoprobe that can
probe chemical composition from sample regions with a few attoliters volume and with high
sensitivity. In this work we demonstrate the capabilities of XLAMS to realize molecular
imaging with ~140 nm lateral and ~30 nm depth resolution. We compare some of the results to
established mass spectrometry techniques like secondary ion mass spectrometry (SIMS). The
high lateral and depth resolution and high sensitivity of the XLAMS imaging method offer great
potential for determining the 3D distribution of impurities and isotopes in nanofilms, and for
imaging surface chemistry in nanostructures. The strong absorption of bio-organics and water
coupled with the ability of the 46.9 nm laser light to ablate the sample without signs thermal
damage is particularly attractive for label-free chemical imaging of biological samples.
ICXRL 2014 Conference Program and Book of Abstracts
Page 38
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Reflection mode imaging with extreme-ultraviolet light from a high harmonic source
Authors: D.F. Gardner, B. Zhang, D.E. Adams, M.D. Seaberg, E.R. Shanblatt, M. Murnane, H.
Kapteyn
Author Affiliation:
[1] Dept. of Physics and JILA, University of Colorado, Boulder, CO 80309-0440 USA
Presenter: Dennis F. Gardner
Presentation Type: Oral
Abstract:
Imaging systems which use extreme ultraviolet and x-ray wavelengths have several key
advantages over visible light microscopes. The shorter wavelengths allow for higher resolution
imaging and the presence of element-specific absorption edges allows for element sensitive
imaging. These advantages are especially attractive to the semiconductor industry which is
pursuing smaller and smaller circuit features using a variety of materials.
However, a major disadvantage is the lack of refractive optics in this wavelength region.
To circumvent this drawback, we employ lensless imaging techniques. The lensless techniques
consist of measuring the far-field scatter from the sample being imaged. With the measured
diffraction patterns, we can recover an image of the sample with a phase retrieval algorithm,
provided that certain constraints are met. One such technique is known as ptychography, which
can be used to recover diffraction-limited images of extended objects through the collection of
many diffraction patterns. [1] This technique makes use of an overlap constraint, which requires
that adjacent scan positions have sufficient illumination overlap.
While this technique has been employed previously in transmission geometries and
normal-incidence reflection, we have generalized this technique for use in reflection geometries
at arbitrary angles of incidence. In this presentation we demonstrate imaging of extended objects
patterned on silicon wafers in a reflection geometry using a high-harmonic source at 30 nm. We
compare images retrieved using our technique with images from scanning electron microscopy
and atomic force microscopy (AFM). From the phase information of these images, and some
priori information about sample materials, we calculate the height of the structures. These
calculated heights agree well with AFM measurements. The technique described here can
provide a comprehensive and definitive characterization of how light at any wavelength scatters
from a surface, with an imminent feasibility of elemental imaging with few-nm resolution.
References:
[1]
A. Maiden and J. Rodenburg, “An improved ptychographical phase retrieval algorithm for
diffractive imaging,” Ultramicroscopy, vol. 109, no. 10, pp. 1256–62, Sep. 2009.
ICXRL 2014 Conference Program and Book of Abstracts
Page 39
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Time resolved holography in a table top with a table top Soft X-ray Laser
Authors: M.C. Marconi [1], N. Monserud [1], E. Malm [1], P. Wachulak [2], W. Chao[3],
Author Affiliation:
[1] Electrical and Computer Engineering. Colorado State University
[2] Military University of Technology. Warsaw, Poland
[3] Center for X-Ray Optics. Lawrence Berkeley National Laboratory
Presenter: Mario Marconi
Presentation Type: Oral
Abstract:
Fourier transform holography (FTH) has emerged as a very convenient short wavelength
coherent diffraction imaging technique. Although FTH has a more complicated mask (sample)
fabrication procedure as compared to coherent diffractive imaging, the image reconstruction
process is fast and simple. The resolution is limited by the size of the reference source generated
by a pinhole fabricated within the mask. The object can be numerically reconstructed by
applying the modulus squared to the inverse Fourier transform of the interference pattern
collected on the detector. This reconstruction method allows for using the digitized hologram
from a charge coupled device (CCD) detector. For high-resolution reconstructions a small
pinhole (or reference) is necessary. This limits the area of the object to small sizes to match the
amount of light from the object to the intensity of the reference pinhole. Therefore, highresolution mask-based FTH ultimately limits the object size that can be imaged. In this paper, we
present a setup in which the reference for FTH is created with a Fresnel zone plate that is used a
beam splitter to generate the illumination and reference waves. The coherent illumination pulse
is generated by a tabletop EUV laser at 46.9 nm wavelength. The beam has a 750 μm coherence
length and 550 μm coherence radius at the sample location, allowing for large field of view.
Multiple shots and single shots holograms were acquired. An experimental resolution of 128±33
nm was obtained which is essentially the diffraction limit set by the zone plate for multiple shots
holograms (accumulation of 20 shots). For single shot exposures, due to the lower signal-tonoise level the resolution was reduced to 159±58 nm. Three dimensional imaging was also
achieved by proper numerical focusing the reconstructed image. A sequence of single shot
holograms allowed for the composition of holographic movies of nano-scale oscillating
cantilevers at megahertz frequencies.
Acknowledgements: The authors acknowledge support by the Defense Threat Reduction Agency
– Joint Science and Technology office for Chemical Biological Defense (Grant No. HDTRA110-1-007) and the National Science Foundation Engineering Research Center for Extreme
Ultraviolet Science and Technology award EEC 0310717.
ICXRL 2014 Conference Program and Book of Abstracts
Page 40
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: X-ray holography for imaging large specimen with a light source of Ag x-ray laser.
Authors: K. H. Lee1, H. Yun1, J. H. Sung1,2, S. K. Lee1,2, T. M. Jeong1,2, H. T. Kim1,2, C. H.
Nam1,3
Author Affiliation:
[1] Center for Relativistic Laser Science, Institute for Basic Science (IBS), Korea
[2] Advanced Photonics Research Institute, Gwangju Institute of Science and Technology
(GIST), Korea
[3] Dept. of Physics and Photon Science, GIST, Korea
Presenter: K. H. Lee
Presentation Type: Oral
Abstract:
X-ray Fourier transform holography (FTH) is one of most attractive methods to overcome the
limitations of conventional x-ray microscopy with sophisticated diffractive x-ray lenses. X-ray
FTH has advantages of fast reconstruction and high reliability, compared with other lensless
imaging techniques such as coherent diffraction imaging and keyhole imaging. In the FTH
holography, the separation condition of reference and sample is important to acquire appropriate
holographic images [1]. The distance from the sample boundary to the reference hole is larger
than the size of sample to avoid overlapping of autocorrelation signal and reconstructed image.
However, for investigating large specimen, the position of the reference hole should be far away
from a sample to satisfy the separation condition. It makes such crucial restrictions as large
illumination area and coherence length covering whole sample plate. Consequently, the
separation condition of reference should be mitigated to achieve a proper holographic image of
large specimen.
In this presentation, we report a variation of FTH by setting the reference hole near a
sample pattern, named as autocorrelation subtracted FTH (AS-FTH). In the experiment, we
successfully obtained reconstructed images, using the AS-FTH technique, with illumination by
Ni-like Ag x-ray laser [2]. A hidden part of reconstructed image behind the autocorrelation
signal was recovered by subtracting the autocorrelation signal. To this end, we separately
recorded the diffraction signal of the sample pattern without reference points to provide the
autocorrelation signal. By subtracting the autocorrelation signal from a FTH hologram, the veiled
sample image could be reconstructed. Therefore, the AS-FTH can be a new method of x-ray
holography to investigate large specimen under limited illumination conditions.
References
[1] M. G.-Sicairos and J. R. Fienup, Opts. Express 15, 17592 (2007)
[2] H. T. Kim et al., Phys. Rev. A 77, 023807 (2008)
ICXRL 2014 Conference Program and Book of Abstracts
Page 41
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: FERMI: the two-stage seeded soft-X-ray free-electron laser at Elettra
Authors: M. Zangrando1,2, E. Allaria1, D. Castronovo1, P. Cinquegrana1, P. Craievich1, M. Dal
Forno1,3, M. B. Danailov1, G. D'Auria1, A. Demidovich1, G. De Ninno1,4, S. Di Mitri1, B.
Diviacco1, W. M. Fawley1, M. Ferianis1, E. Ferrari1, L. Froehlich1, G. Gaio1, D. Gauthier1,4, L.
Giannessi1,5, R. Ivanov1, B. Mahieu6, N. Mahne1, I. Nikolov1, F. Parmigiani1,3, G. Penco1, L.
Raimondi1, C. Scafuri1, C. Serpico1, P. Sigalotti1, S. Spampinati1,4, C. Spezzani1, M. Svandrlik1,
C. Svetina1,3, M. Trovò1, M. Veronese1, D. Zangrando1
Author Affiliation:
[1] Elettra-Sincrotrone Trieste S.C.p.A., Trieste, Italy
[2] IOM-CNR, Laboratorio TASC, Trieste, Italy
[3] Department of Physics, University of Trieste, Italy
[4] Laboratory of Quantum Optics, Nova Gorica University, Slovenia
[5] Theory Group–ENEA C.R. Frascati, Italy
[6] Service des Photons Atomes et Molécules, CEA, Gif-sur-Yvette, France
Presenter: Marco Zangrando
Presentation Type: Oral
Abstract:
FERMI is the Italian free-electron laser (FEL) user facility in operation, in the EUV/Soft X-ray
range, at Elettra (Trieste – Italy). The “long wavelengths” range (100-20 nm) is covered by a
single-cascade high gain high harmonic (HGHG) generation FEL, FERMI FEL-1, in user
operation since 2012 that has been already used to perform experiments exploiting the seeded
nature of the source and its unique properties [1,2]. The “short wavelength” range (20- 4 nm) is
covered by the second free electron laser, FERMI FEL-2, which is in commissioning since
October 2012. This FEL is based on a fresh bunch, two-stage harmonic upshift configuration [3]
and is expected to deliver the first coherent, tunable, variable-polarization, soft X-ray
femtosecond pulses, to test user experiments during this year.
So far we demonstrated that FEL-2 can produce single-transverse-mode, narrow-spectralbandwidth output pulses of several tens of microjoules energy and low pulse-to-pulse
wavelength jitter at final wavelengths of 10.8 nm and below. Coherent signals measured down to
4.08 nm suggest this configuration will enable scientific investigations below the carbon K-edge,
including access to the L-edges of many magnetic materials, with an energy per pulse unlocking
the gate for experiments in the soft X-ray region with close to Fourier-transform-limited pulses.
Results from the machine commissioning as well as from the first user experiments will be
presented and discussed, underlying the peculiar characteristics of the FERMI sources and how
they have been exploited.
References (as needed)
Format: Author, Publication,
[1] E. Allaria, et al., Nature Photonics, 6, 10 (2012)
[2] E. Allaria, et al., Nature Communications, 4 (2013)
[3] E. Allaria, et al., Nature Photonics, 7, 11 (2013)
ICXRL 2014 Conference Program and Book of Abstracts
Volume,
Issue
Page 42
(year)
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Stimulated X-Ray Raman Scattering with Free-Electron Laser Sources
Authors: V. Kimberg1,2, C. Weninger1,2, T. Kierspel4,2, T. Mullins4,2, B. Erk4, A. SanchezGonzalez7, M. Purvis3, D. Ryan3, R. Coffee4, J. D. Bozek4, C. Bostedt4, S. Carron Monterro4, A.
Lindahl4, M. Ilchen4, A. Lutman4, J. Krzywinski4, T. Maxwell4, M. Agaker5, C. Sathe5, R.
Squibb5, M. Mucke5, V. Zhaunerchyk5, R. Feifel5, D. Rolles3, R. London6, O. Mücke2, J.
Nordgren5, J. J. Rocca3, J. Küpper2,3,4, J.E. Rubensson5, N. Rohringer1,2
Author Affiliation:
[1] Max Planck Institute for the Physics of Complex Systems, Dresden, Germany
[2] Center for Free-Electron Laser Science, Hamburg, Germany
[3] University of Hamburg, Hamburg, Germany
[4] DESY, Hamburg, Germany
[5] Colorado State University, Fort Collins, CO, USA
[6] SLAC National Accelerator Laboratory, Menlo Park, CA, USA
[7] Uppsala University, Uppsala, Sweden
[8] Lawrence Livermore National Laboratory, Livermore, CA, USA
[9] Imperial College, London, United Kingdom
Presenter: N. Rohringer
Presentation Type: Oral
Abstract:
X-ray free-electron lasers (XFELs) open the pathway to transfer non-linear spectroscopic
techniques to the x-ray domain, to study the interplay of electronic and vibrational degrees of
freedom by time-domain spectroscopy. A promising all x-ray pump probe technique is based on
coherent stimulated electronic x-ray Raman scattering. We will present the first experimental
demonstration of nearly saturated stimulated electronic x-ray Raman scattering using the LCLS
XFEL [1] in atomic neon. By tuning the relatively broad XFEL pulses to the core-excited
Rydberg resonances in the pre K-edge region of neon, resonance scattered photons drive an
avalanche of resonant inelastic x-ray scattering events, resulting in exponential amplification of
the scattering signal with an enhancement of 6-7 orders of magnitude. Analysis of the line profile
of the emitted radiation permits to demonstrate the cross over from amplified fluorescence to
coherent resonance scattering. In combination with statistical covariance mapping, a highresolution spectrum of the resonant inelastic x-ray scattering process can be obtained, opening
the path to coherent stimulated x-ray Raman spectroscopy. An extension of these ideas to
molecules [2] and the results of a recent experiment in CO will be discussed. The high-gain
regime, involving exponential amplification and strong-field effects will be contrasted to
stimulated scattering at moderate x-ray intensities, which is more appropriate for spectroscopic
studies. A critically assessment of the feasibility of more complex nonlinear x-ray spectroscopic
techniques and requirements on the stability and pulse parameters of XFEL sources that could
enable these new techniques, will be presented.
[1] C. Weninger et al., Phys. Rev. Lett. 111, 233902 (2013)
[2] V. Kimberg & N. Rohringer, Phys. Rev. Lett. 110, 043901 (2013).
ICXRL 2014 Conference Program and Book of Abstracts
Page 43
ICXRL 2014 Conference Program and Book of Abstracts
Page 44
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Stimulated X-ray Emission for Materials Science
Authors: M. Beye1, S. Schreck1,2, F. Sorgenfrei1,3, C. Trabant1,2,4, N. Pontius1, C. SchüßlerLangeheine1, W. Wurth3, A. Föhlisch1,2
Author Affiliation:
[1] Institute Methods and Instrumentation of Synchrotron Radiation Research, HelmholtzZentrum Berlin, Germany
[2] Fakultät für Physik und Astronomie, Universität Potsdam, Germany
[3] Institut für Experimentalphysik, Universität Hamburg and Centre for free-electron laser
science, Hamburg, Germany
[4] II. Physikalisches Institute, Universität zu Köln, Germany
Presenter: M. Beye
Presentation Type: Oral
Abstract:
Spectroscopy in materials science aims at understanding the driving forces and finally tailoring
materials functional properties. Often, these are buried in subtle differences in reactions to
external stimuli at selected active centers. Soft X-ray emission spectroscopy (XES) or resonant
inelastic X-ray scattering (RIXS) in the soft X-ray region are highly selective and informative
tools to study fundamental mechanisms in materials, including low-energy excitations involving
spin, charge and orbital degrees of freedom that ultimately drive the functionality [1].
A current drawback of those spectroscopies is the low conversion efficiency from incoming to
detected photons, since most of the core excitations decay non-radiatively into Auger electrons
and the spectrometer optics typically have a low angular acceptance.
Both of these issues can be overcome when stimulated processes are used to suppress Auger
decays as well as to form a more directed beam of emission. To date, experiments have been
carried out in the gas phase that showed amplified spontaneous emission (ASE) and hints of
direct-beam stimulated RIXS [2,3].
In this talk, a demonstration of ASE on a solid system will be highlighted and the differences and
complexities as compared to the gas phase are discussed together with possible future routes and
improvements [4].
References (as needed)
Format: Author, Publication,
[1] LJP Ament et al., Rev. Mod. Phys. 83, 2 (2011)
[2] N Rohringer et al., Nature 481, 488 (2012)
[3] C. Weninger et al., Phys. Rev. Lett. 111, 23 (2013)
[4] M. Beye et al., Nature 501, 191 (2013)
ICXRL 2014 Conference Program and Book of Abstracts
Volume,
Issue
Page 45
(year)
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Generation of hard x-ray laser pumped with x-ray free electron laser
Authors: Hitoki Yoneda, Kazuyshi Nagamine, Yuuichi Inubushi, and Makina Yabashi
Author Affiliation:
[1] Institute for Laser Science, University of Electro-Communications, Chofu, Tokyo 1828585, Japan
[2] RIKEN SPring-8 Center, Sayo, Hyogo 679-5148, Japan
Presenter: Hitoki Yoneda
Presentation Type: Oral
Abstract:
In the X-ray Free-Electron Laser facility (SACLA in Harima, Japan), a sub-mJ, 10keV, 7fs X-ray
pulse can be focused to 50nm diameter. The expected focal intensity exceeds 1020W/cm2. Using
such an extreme high intensity X-ray pulse, it is possible to prepare the active medium for x-ray
lasers and demonstrate other novel x-ray dynamical photonics. The phenomena include
nonlinear transmission, phase front reshaping, saturable absorption, and laser-pumped inner-shell
lasers. Simple estimates show the thresholds for these phenomena have similar intensities and
we can achieve them in the SACLA X-ray Laser facility. When the X-ray energy is tuned to be
just above the K-edge of the target atom, a high density of inner-shell ionization is efficiently
produced. Highly selective absorption is caused by the edge shift after single 1s ionization and
by the relatively large ratio between K-shell and L-Shell ionization cross-sections. Nonequilibrium matter produced in this way is known to be a useful gain medium for inner-shell
lasers. In addition, other nonlinear optical phenomena are expected in these experiments.
Among them the saturable absorption mechanism plays an important role for achieving a large
gain-length product. At present, we have demonstrated dynamical spatial filtering of the 7keV
XFEL and a hard x-ray laser pumped by XFEL pulses. These experiments open a new field of
hard X-ray quantum optics research.
ICXRL 2014 Conference Program and Book of Abstracts
Page 46
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: COMPARING THE GAIN OF THE NE K- INNER-SHELL X-RAY LASER USING THE
X-FEL TO DRIVE THE KINETICS WITH PHOTO -IONIZATION VERSUS PHOTO-EXCITATION
Authors: Joseph Nilsen
Author Affiliation:
[1] Lawrence Livermore National Laboratory
Presenter: Joseph Nilsen
Presentation Type: Oral
Abstract: For the last four decades various photo-pumped X-ray laser schemes have been
proposed. However, demonstrating these schemes in the laboratory has proved to be elusive
because of the difficulty of finding a strong resonant pump line or X-ray source. With the advent
of the X-ray free electron laser (X-FEL) at the SLAC Linac Coherent Light Source (LCLS) we
now have a tunable X-ray laser source that can be used to replace the pump line or X-ray source
in previously proposed laser schemes and allow researchers to study the physics and feasibility
of photo-pumped laser schemes. Many of these photo-pumped schemes are driven by photoexcitation from a resonant line source but others are driven by photo-ionization from a strong
non-resonant X-ray source.
Two years ago an inner-shell X-ray laser was demonstrated at 849 eV (1.46 nm) in singly
ionized neon gas using the X-FEL at 960 eV to photo-ionize the 1s electron in neutral neon
followed by lasing on the 2p – 1s transition in singly-ionized neon. This work was done at LCLS
by a multi-laboratory team led by Nina Rohringer and published in the January 26, 2012 issue of
Nature. It took many decades to demonstrate this scheme because it required a very strong X-ray
source that could photo-ionize the 1s (K shell) electrons in neon on a time scale comparable to
the intrinsic auger lifetime in the neon, which is typically 2 fsec.
In this work we model the neon inner shell X-ray laser under similar conditions to those used
at LCLS. We then investigate how we can improve the efficiency of the neon laser and reduce
the drive requirements by tuning the X-FEL to the 1s-3p transition in neutral neon in order to
create gain on the 2p-1s line in neutral neon. We explore the sensitivity to the drive intensity,
pulse duration, and line-width of the X-FEL to better understand how to optimize this inner shell
laser by understanding the tradeoffs between using photo-ionization versus photo-excitation to
drive gain in these systems.
Work performed under the auspices of the US Department of Energy by the Lawrence Livermore
National Laboratory under Contract DE-AC52-07NA27344.
ICXRL 2014 Conference Program and Book of Abstracts
Page 47
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: EUV research at Berkeley Lab: enabling technologies and applications
Authors: Patrick P. Naulleau, Christopher N. Anderson, Weilun Chao, Peter Fischer, Kenneth
A. Goldberg, Eric M. Gullikson, Ryan Miyakawa
Author Affiliation:
Center for X-Ray Optics, Berkeley Lab, Berkeley, CA 94720
Presenter: Patrick P. Naulleau
Presentation Type: Oral
Abstract:
The tremendous progress in the development and deployment of lab scale x-ray sources over the
past decade has opened up the door to a wide variety of new users beyond the traditional
synchrotron community. The practical use of such sources, however, is heavily dependent on the
availability of optical components. In this presentation, we provide an overview in progress at
Berkeley Lab in the development of reflective and diffractive optical structures for imaging,
wavefront encoding, metrology, beam splitting, spectral filtering, and more.
In addition to enabling components, we will also address imaging and patterning applications in
the x-ray regime and in particular in the soft-x-ray and extreme ultraviolet (EUV) bands. By far
the largest application development in this space is EUV lithography where we will discuss the
latest advancements in resist patterning systems and mask metrology. Finally we will discuss
recent progress in the area of magnetic and chemically resolved full field soft-x-ray microscopy.
ICXRL 2014 Conference Program and Book of Abstracts
Page 48
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Defect-free fabrication of periodic structures using Talbot lithography and a table
top Soft X-ray Laser
Authors: Wei Li [1], D. Patel [1], W. Chao[2], C.S. Menoni [1], M.C. Marconi [1]
Author Affiliation:
[1] Electrical and Computer Engineering. Colorado State University
[2] Center for X-Ray Optics. Lawrence Berkeley National Laboratory
Presenter: Wei Li
Presentation Type: Oral
Abstract:
We Describe a nano lithography approach based on the utilization of the Talbot effect and a table
top Soft X-ray laser. The photolithography method renders error-free prints, regardless of
existence of defects in the mask.
It is based on the self-imaging effect (known as Talbot
effect) produced when a periodic transmission mask is illuminated with a coherent light beam.
Under this illumination condition, the periodic diffractive mask composed of diffraction units or
“tiles” renders images which are 1× replicas at certain locations (Talbot planes). The Talbotimages are generated by the collective contribution of the diffraction of the thousands of cells in
the mask. Because the unit cells (or tiles) are replicated many times in the plane of the mask,
any defect in any of the unitary cells is averaged over a very large numbers of tiles consequently
producing a virtually defect-free image. This is a unique characteristic of this photolithographic
approach.
Different results showing the effective fabrication of periodic metallic structures compatible with
plasmonic surfaces will be presented. Also a detailed description of the mask fabrication
protocol, the prospects of the approach and the potential limitation of the method will be
discussed. The Talbot lithography offers an alternative flexible method for fabrication with submicron resolution, allowing for the generation of defined structures even from a heavily damaged
master masks.
Acknowledgements: This work was supported by the National Science Foundation, award
ECCS 0901806, the NSF ERC for Extreme Ultraviolet Science and Technology, award EEC
0310717. This research was carried out in part at the Center for Functional Nanomaterials,
Brookhaven National Laboratory, which is supported by the U.S. Department of Energy, Office
of Basic Energy Sciences, under Contract No. DE-AC02-98CH10886.
ICXRL 2014 Conference Program and Book of Abstracts
Page 49
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: High resolution laboratory-scale EUV interference lithography
Authors: Jenny Tempeler [1], Sascha Brose [1], Serhiy Danylyuk [1], Peter Loosen[1], Gregor Mussler
[2], Detlev Grützmacher [2], Klaus Bergmann [3], Larissa Juschkin [4]
Author Affiliation:
[1] Chair for the Technology of Optical Systems and JARA—Fundamentals of Future Information
Technology, RWTH Aachen University, Germany
[2] Peter Grünberg Institute 9 (PGI-9), Forschungszentrum Jülich, Germany
[3] Fraunhofer Institute for Lasertechnology, Germany
[4] Experimental Physics of the Extreme-Ultraviolet and JARA—Fundamentals of Future
Information Technology, RWTH Aachen University, Germany
Presenter: Jenny Tempeler
Presentation Type: Oral
Abstract:
Recent progress in nanotechnology and a constant increase in requirements on nano-structure based
devices in terms of integration density and energy efficiency is rapidly pushing feature sizes towards sub10 nm dimensions. Interference lithography (IL) with extreme ultraviolet (EUV) radiation is the most
promising technique to achieve the sub-20 nm resolution for large and dense arrays of periodic
nanostructures [1]. Thanks to the short wavelength (typically 13.5 nm) structuring with EUV light on a
scale of a few tens of nanometers is not limited by diffraction. And, in contrast to high energy techniques,
proximity and charging effects are negligible due to the very strong interaction of EUV radiation with
matter, thus leading to short (<100 nm) absorption distances.
On this conference, we want to introduce our developed laboratory-based interference lithography setup
which utilizes a partially coherent discharge plasma source to generate radiation in the EUV range. With
this setup, we are able to use two different approaches to fabricate nano-scale patterns in photo resist:
First, the proximity printing technique generates a 1:1 image of the lithography mask in the resist and
secondly, due to the interference scheme of the achromatic Talbot effect [2] a 2:1 demagnification of the
mask pattern is imprinted to the resist. The achromatic Talbot effect sets certain requirements on the EUV
light source regarding the bandwidth and the coherence. Therefore, we optimized the emitted light of the
discharge plasma source [3] and the material system of the self-fabricated lithography masks [4]. The
entire system is capable to structure 4" wafers with large and periodic arrays of sub-100 nm resolution,
which opens a wide range of possible applications.
One of the pursued applications, the fabrication of Si/Ge quantum dot arrays (QDs), will be discussed in
detail [5]: The fabrication process of QDs starts with the generation of a dense and hexagonal-ordered
pinhole pattern with the help of EUV IL in photo resist. Then the pattern is transferred to the Si-substrate
via reactive ion etching (RIE). After the growth of Si and Ge layers by means of molecular beam epitaxy
(MBE), quantum dots are formed due to the Stranski-Krastanov growth mode at the cites of the pinholes
in the former resist pattern. First results of EUV patterning, pattern transfer via RIE and subsequent MBE
growth are discussed.
References
[1]
H.H. Solak et al., J. Vac. Sci. Technol. B 25 (1), 91-95 (2007)
[2]
H.H. Solak et al., J. Vac. Sci. Technol. B 23 (6), 2705-2710 (2005)
[3]
K. Bergmann et al., J. Appl. Phys. 106, 073309 (2009)
[4]
S. Brose et al., Thin Solid Films 520, 5080–5085 (2012)
[5]
D. Grützmacher et al., Nano Lett. 7 (10), 3150–3156 (2007)
ICXRL 2014 Conference Program and Book of Abstracts
Page 50
ICXRL 2014 Conference Program and Book of Abstracts
Page 51
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Applications for coherent narrow-band EUV sources in semiconductor high volume
manufacturing
Authors: Ryan Miyakawa1, Patrick Naulleau1
Author Affiliation:
[1] Lawrence Berkeley National Lab
Presenter: Ryan Miyakawa
Presentation Type: Oral
Abstract:
Extreme ultraviolet lithography (EUVL) is a key technology for the semiconductor industry as it
continues to shrink the critical dimensions of semiconductor devices. In this presentation, we
discuss the unique application of coherent, narrow-band sources in both defect inspection and
wavefront metrology tools that play essential roles in the EUVL ecosystem.
Mask inspection is a critical part of EUVL, as a single defect can cause device failure.
Defects on EUV masks are particularly troublesome, as many of them manifest as embedded
phase defects underneath the multilayer stack, and cannot be readily observed via visible or
electron microscopes. Recently, Samsung Electronics with the help of the Center for X-ray
Optics (CXRO) successfully demonstrated the development of an actinic mask inspection tool
called SERM (Scanning EUV Reticle Microscope) using a coherent HHG EUV source. This
tool has several advantages over more traditional inspection tools using plasma discharge
sources. The narrow bandwidth of the HHG source enables the use of Fresnel zone plate optics,
which can be coded to use contrast-enhancing techniques such as Zernike phase contrast
microscopy [1]. Additionally, the coherence allows the tool to operate in a scanning mode,
similar to a STXM microscope, which can achieve a large field of view without field-dependent
aberrations.
Wavefront metrology is also a key component of EUVL. Wavefront aberrations caused
by optical misalignment are hard to measure and reduce the ultimate resolution of a lithography
tool. Many conventional interferometric techniques such as point diffraction interferometry or
the Hartman test are challenging to perform due to difficulty in producing a high-quality
coherent reference wave from an incoherent source [2]. Coherent EUV sources, however, would
ease this constraint, providing a reliable source of coherent power that doesn’t require the
complication of multiple spatial filters. Lateral shearing interferometry was successfully
performed on the SERM microscope at CXRO with an HHG source, with a precision of
lambda/150.
References (as needed) Format: Author, Publication, Volume, Issue (year)
[1] Y. Wang et al., “Zernike phase contrast microscope for EUV mask inspection” SPIE PROC.
Advanced
Lithography,
2014
[2] P. P. Naulleau, K. A. Goldberg, et al., ”Extreme-ultraviolet phase-shifting point-diffraction
interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy,”
Applied Optics 38 (35), 7252-63 (1999)
ICXRL 2014 Conference Program and Book of Abstracts
Page 52
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Proximity printing and interference lithography with a plasma-based EUV source
Authors: H. Kim1, S. Danylyuk2, S. Brose2, K. Bergmann3, and L. Juschkin1
Author Affiliation:
[1] [RWTH Aachen University and JARA—Fundamentals of Future Information
Technology, Chair for the Experimental Physics of EUV, Germany]
[2] [RWTH Aachen University and JARA— Fundamentals of Future Information
Technology, Chair for the Technology of Optical Systems, Germany]
[3] [Fraunhofer Institute for Laser Technology, Germany]
Presenter: Hyun-su Kim
Presentation Type: Oral
Abstract:
The possibilities and limitations of proximity printing and interference lithography with
extreme ultraviolet (EUV) radiation are explored theoretically and experimentally. Utilizing
partially coherent EUV radiation with a wavelength of 10.88 nm from a Xe/Ar gas discharge
plasma source, proximity patterning of various nanoantenna arrays has been performed. The
experimental results are compared with the results of numerical scalar diffraction simulations,
and it shows that proximity printing in the Fresnel diffraction can produce the high-resolution
features even with lower resolution masks, and demonstrate sub-30 nm edge resolution in the
resist. The potential of the method has been shown by simulation of the patterning through
circular and triangular apertures as well as through bowtie antenna patterns, with the results
suggesting that precise control of the proximity gap and the exposure dose together with
simulation-supported mask design optimizations may allow for a wide variety of high-resolution
structures to be printed through relatively simple transmission masks. The method is especially
suited for high-performance manufacturing of sub-micrometer sized nanophotonic arrays.
Additionally, the resolution limits of the self-imaging Talbot lithography with EUV
radiation have been evaluated theoretically. The size of object on the mask approaches the
wavelength of the radiation, which means Fresnel diffraction theory is no longer valid in this
case, and reflective index of materials plays an important role in EUV system. Here, the results
of finite-difference time- domain simulations of the diffraction behind the Ni/Nb-based
transmission masks in dependence on the period (or pitch) are presented. The results demonstrate
the method can be used to produce patterns with resolution down to 7.5-nm half-pitch with 2:1
mask demagnification utilizing achromatic Talbot effect with transverse electric (TE)-polarized
light.
References
1. S. Danylyuk, H. Kim, S. Brose, L. Juschkin, et al, J. Micro/Nanolith. MEMS MOEMS., 12
(3), 033002 (2013)
2. S. Danylyuk, H. Kim, L. Juschkin et al, J. Vac. Sci. Tech. B 31(2), 021602 (2013)
3. K. Bergmann, S. Danylyuk, L. Juschkin, J. Appl. Phys. 106, 073309 (2009)
ICXRL 2014 Conference Program and Book of Abstracts
Page 53
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Recent advances in reflective optics for EUV/x-ray laser sources
Authors: Regina Soufli
Author Affiliation: Lawrence Livermore National Laboratory, Livermore, California, US
Presenter: Regina Soufli
Presentation Type: Oral
Abstract:
The emergence of novel extreme ultraviolet (EUV) and x-ray laser sources with unprecedented
brightness and coherence properties (free-electron lasers, tabletop lasers, high-harmonic
generation sources) has ushered a new era in scientific research and has imposed completely new
demands for the performance of reflective optics needed to steer, filter and focus radiation from
these sources. This presentation will discuss recent advances in the development of reflective
optics for laser sources in the EUV/x-ray range. The topics covered will include: a) Corrosionresistant, highly reflective multilayer mirrors for operation in the 25-80 nm wavelength region1,2,
b) Multilayer mirrors with minimized stress and figure errors and optimized reflectance, for
operation at wavelengths near 13.5 nm and c) Long-term performance and recovery strategies for
boron carbide and silicon carbide-coated mirrors and gratings for the Linac Coherent Light
Source (LCLS) x-ray free-electron laser3,4.
This work was performed under the auspices of the U.S. Department of Energy by Lawrence
Livermore National Laboratory under Contract No. DE-AC52-07NA27344.
References
1. R. Soufli, M. Fernández-Perea, S. L. Baker, J. C. Robinson, J. Alameda, C. C. Walton,
‘Spontaneously intermixed Al-Mg barriers enable corrosion-resistant Mg/SiC multilayer
coatings’, Appl. Phys. Lett. 101, 043111 (2012).
2. M. Fernández-Perea, R. Soufli, J. C. Robinson, L. Rodríguez-De Marcos, J. A. Méndez, J. I.
Larruquert, and E. M. Gullikson, ‘Triple-wavelength, narrowband Mg/SiC multilayers with
corrosion barriers and high peak reflectance in the 25-80 nm wavelength region’, Opt. Express
20, 24018-24029 (2012).
3. R. Soufli, M. J. Pivovaroff, S. L. Baker, J. C. Robinson, E. M. Gullikson, T. J. McCarville, P.
M. Stefan, A. L. Aquila, J. Ayers, M. A. McKernan, R. M. Bionta, “Development,
characterization and experimental performance of x-ray optics for the LCLS free-electron laser”
Proc. SPIE 7077, 707716 (2008).
4. R. Soufli, M. Fernández-Perea, S. P. Hau-Riege, S. L. Baker, J. C. Robinson, E. M.
Gullikson, J. D. Bozek, N. M. Kelez, S. Boutet, “Lifetime and damage threshold properties of
reflective x-ray coatings for the LCLS free-electron laser”, Proc. SPIE 8077, 807702 (2011).
ICXRL 2014 Conference Program and Book of Abstracts
Page 54
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: X-ray Pulse Compressor
Authors: Saša Bajt1, Mauro Prasciolu1, Henry N. Chapman2,3, Adrian Cavalieri4
Author Affiliation:
[1] Photon Sciences, DESY, Hamburg, Germany
[2] Center for Free Electron Laser Science, DESY, Hamburg, Germany
[3] Department of Physics, University of Hamburg, Hamburg, Germany
[4] Max Planck Institute for the Structure and Dynamics of Matter, Hamburg, Germany
Presenter: Saša Bajt
Presentation Type: Oral
Abstract:
X-ray free electron lasers (FELs) with their short, intense and coherent x-rays are revolutionizing
the field of structural biology. However, high spatial resolution imaging is still limited by the
radiation damage in biological samples. It has been shown theoretically and supported
experimentally that the radiation damage becomes significant after only ~10 fs [1,2]. In general,
higher quality images will be obtained using shorter more intense FEL pulses.
We plan to increase the FEL power through manipulation of the driving electron bunch and
temporal compression of the emitted photon pulse in a process analogous to chirped-pulse
amplification (CPA) used in optical lasers. In this talk we will discuss the ability to compress and
otherwise tailor the X-ray pulse profile with multilayer-based X-ray optics and in particular our
latest developments and results on large area x-ray gratings (X-ray pulse compressor).
Our previous grating structures were prepared by an asymmetric cut in a thick X-ray multilayer
structure [3]. Their performance agreed well with the dynamical diffraction theory and the
efficiency was only minimally affected by the surface structure of cutting and polishing the
multilayer. However, to prepare a high quality optic two more requirements have to be satisfied:
the entire multilayer stack has to be grown without an interruption or a change in its period and
the entire multilayer stack has to be thick enough to expose a reasonably large cut surface. The
former is of importance for high efficiency and spectral resolution, and the latter reduces
radiation damage of the optic placed in the FEL beam. We have achieved these conditions by
growing multilayers of over 20 microns thick on a substrate with a large period saw-tooth
structure.
References:
[1] A. Barty et al. Nature Photonics 6, 35-40 (2012).
[2] H. N. Chapman et al. Nature 448, 676-679 (2007).
[3] S. Bajt, H. N. Chapman, A. Aquila, E. Gullikson, JOSA, 29, 3 (2012)
ICXRL 2014 Conference Program and Book of Abstracts
Page 55
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Spectral properties of collisional XUV lasers for the amplification of femtosecond pulses.
Authors: A. Klisnick1, A. Le Marec1, L. Meng1, O. Larroche2. O. Guilbaud3, M. Kozlova4, J.
Nejdl4, A. Calisti5
Author Affiliation:
[1] ISMO, CNRS–Université́ Paris–Sud, Orsay, France
[2] CEA DIF, Bruyères le Châtel, Arpajon, France
[3] LPGP, CNRS–Université́ Paris–Sud, Orsay, France
[4] IoP/PALS Center, Prague, Czech Repbublic
[5] PIIM, CNRS -Aix-Marseille Université, Marseille, France
Presenter: Annie Klisnick
Presentation Type: Oral
Abstract:
We have recently measured the temporal coherence and spectral bandwidth of the PALS Zn
XUV laser [1] generated in the quasi-steady state pumping regime. This completes the
characterization of all four types of collisional XUV lasers currently generated worldwide, in
ASE and seeded modes, which we have carried out over the last few years. All measurements
were done with the same instrument, a wavefront-division interferometer, yielding a unique
comparative study of the respective spectral properties of the different XUV lasers. We will
summarize the set of results obtained, compare them to similar measurements performed
elsewhere (e.g. [2]), and discuss them in terms of the plasma broadenings predicted from
calculations [3], and of the corresponding local conditions in the gain zone.
A recent numerical study of the temporal behaviour of the amplified HH seed [4] shows the
existence of two asymptotic amplification regimes, adiabatic and dynamic, depending on the
small-signal gain of the plasma amplifier. The gain threshold discriminating between these two
regimes is related to the homogeneous linewidth of the XUV laser line. In the usual adiabatic
regime, the duration of the amplified pulse is limited by the gain-narrowed linewidth. In the
dynamic regime, the amplification becomes non-linear, leading to the occurrence of Rabi
oscillations, already discussed by several authors [5-7], with femtosecond timescale. The above
bandwidth measurements are used to predict the behaviour likely to be observed with the
different kinds of XUV lasers.
References
[1] A. Le Marec et al, oral presentation at this Conference
[2] Y. Wang, et al., Optics letters 38, 5004–5007 (2013).
[3] A. Calisti et al., High Energy Density Physics 9, 516–522 (2013).
[4] O. Larroche et al., Opt. Lett. 38, 2505 (2013).
[5] C.M. Kim et al., Phys. Rev. A 80, 053811 (2009).
[6] E. Oliva et al., Phys. Rev. A 84, 013811 (2011).
[7] F. Tissandier et al., Appl. Phys. Lett. 101, 251112 (2012).
ICXRL 2014 Conference Program and Book of Abstracts
Page 56
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Investigation of a circularly-polarized seeded collisionaly-pumped OFI soft x-ray laser
Authors: A. Depresseux1, S. Sebban1, J. Gautier1, F. Tissandier1, J.P. Goddet1, A. Tafzi1, G.
Maynard2, E. Oliva2, Y. Neijdl3, M. Kozlova3, Ph. Zeitoun1, A. Rousse1
Author Affiliation:
[1] Laboratoire d’Optique Appliquée (LOA),
Campus Polytechnique, Chemin de la Hunière 91761 Palaiseau, France.
[2] Laboratoire de Physique des Gaz et Plasmas (LPGP), CNRS-Université Paris Sud 11,
91405 Orsay, France.
[3] ELI Beamlines Project, Institute of Physics of the ASCR, Na Slovance 2, 182 21 Prague
8, Czech Republic.
Presenter: A. Depresseux
Presentation Type: Oral
Abstract:
Significant applications lay in matter probing techniques involving polarization as an
additional source of contrast. In this perspective, combining polarization properties with the fullfledged potential of high-brilliance coherent pulses in the nanometer scale holds the greatest
promise for both high temporal and spatial resolution investigation in the framework of biology
and material science.
We studied the polarization of a fully coherent plasma-based soft x-ray laser seeded by a
high harmonic signal. This technique has been notably used to take the advantage of the highquality optical properties of high order harmonics.
It has been previously shown that such a seeded soft x-ray laser is polarized and follows
the initial linear polarization of the HHG signal [1]. Here, we propose to make the seed circularly
polarized and to inspect the polarization of the amplified signal.
This would, for instance, provide a compact laser source proving to be an ideal tool for
the investigation of ultrafast magnetization dynamics in matter [2] or biological chiral domains at
the nanometer scale [3].
The plasma-based XUV laser was achieved in a gas cell with a transient collisional
excitation scheme in Ni-like krypton at 32.8nm [4]. The high harmonic signal has been generated
from a linearly polarized laser beam focused into a gas cell filled with argon. The linearly
polarized harmonics have been turned circularly polarized implementing a four-reflector phase
shifter [5] and finally injected into the OFI plasma amplifier. The seeded laser polarization has
been subsequently examined using a three-reflector rotating analyser.
References
[1] Zeitoun, P. et al, Nature 431, 426-429 (2004).
[2] La-O-Vorakiat, C. et al., Phys. Rev. Lett. 103, 257402 (2009).
[3] Ohsumi, H. et al., Angewandte Ch. Int., 52, 33, 8718 – 8721 (2013).
[4] Sebban, S. et al., Phys. Rev. Lett. 89, 253901 (2002).
[5] Höchst, H. et al., Nucl. Instrum. Methods Phys. Res. A 347, 107–114 (1994).
ICXRL 2014 Conference Program and Book of Abstracts
Page 57
ICXRL 2014 Conference Program and Book of Abstracts
Page 58
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Transient collisionally excited x-ray lasers pumped with one long and two short pulses
Authors: D. Ursescu1,2, G. Cojocaru2,3,4, R. Ungureanu2,3, R Banici2, L. Ionel2, S. Simion2, R.
Dabu2, J. Tümmler5, R. Jung5, H. Stiel5, Olivier Delmas4, Moana Pittman4,6, Olivier Guilbaud4,6,
Sophie Kazamias4,6, Kevin Cassou6, Julien Demailly4, Olivier Neveu4, Elsa Baynard6, David
Ros4,6, Andrea Le Marec7, Sameh Daboussi8, Li Lu8, A. Klisnick7, P. Zeitoun8
Author Affiliation:
[1] Horia Hulubei National Institute for Physics and Nuclear Engineering, (IFIN-HH), ELINP Department, Reactorului str. 30, 077125, Magurele, Romania
[2] National Institute for Lasers, Plasma and Radiation Physics (INFLPR), Atomistilor 409,
Magurele, 077125, Romania
[3] Faculty of Physics, University of Bucharest, 405 Atomistilor Str., Magurele 077125,
Romania
[4] Laboratoire de Physique des Gaz et des Plasmas, Universite Paris-Sud (UMR-CNRS
8578), Bat. 210, 91405 Cedex, France
[5] Max-Born-Institut (MBI), Max-Born-Strasse 2 A, Berlin 12489, Germany
[6] LASERIX, Centre Laser Universite Paris Sud, FR2764, Universite Paris-Sud (UMRCNRS 8578) Bat. 106, 91405 Cedex, France
[7] Université Paris-Sud 11, CNRS, ISMO, 91405 Cedex, France
[8] Laboratoire d'Optique Appliquée, ENSTA ParisTech - CNRS UMR 7639 - École
Polytechnique, Chemin de la Hunière, F-91761 Palaiseau, France
Presenter: Daniel Ursescu
Presentation Type: Oral
Abstract:
X-ray laser simulations based on Ehybrid code have shown that enhanced plasma x-ray laser
emission can be achieved mastering the ionization dynamics and plasma temperature using one
long and two short pulses [1]. In parallel, two simple methods to generate multiple short pulses
for pumping x-ray lasers were reported in conjunction with x-ray laser developments [2-4]. Five
to ten fold enhancement in the emission of the silver x-ray laser was demonstrated using the
newly developed pump methods, when compared with the traditional one, based on a long pulse
followed by one short pump pulse. An overview of these recent experiments will be presented.
The possible implementation of these novel x-ray laser pumping methods at Extreme Light
Infrastructure – Nuclear Physics facility will be discussed.
References
[1] D. Ursescu, L. Ionel, J. Optoelectron. Adv. Mater. 12, p. 48 (2010)
[2] D. Ursescu, et al, J. Optoelectron. Adv. Mater. 12, p. 100 (2010)
[3] R. Banici et al. Optics Letters, 37(24), p. 5130 (2012)
[4] G. Cojocaru et al. Optics Letters, accepted (2014)
ICXRL 2014 Conference Program and Book of Abstracts
Page 59
ICXRL 2014 Conference Program and Book of Abstracts
Page 60
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Spectra of CV and CVI ions in “Water Window” created by the propagation of ultraintense laser pulses in plasma waveguides
Authors: Yushan Luo1, Anatoli Morozov1, Szymon Suckewer1
Author Affiliation:
[1] Department of Mechanical and Aerospace Engineering, Princeton University, Princeton,
NJ, 08544
Presenter: Yushan Luo
Presentation Type: Oral
Abstract:
One of the principal challenges in developing soft X-ray lasers in “water window” (2.3nm 4.4nm) through the recombination scheme is to elongate the lasing medium in order to achieve
significant gain. We employ a pre-formed plasma waveguide, generated by a line-focused prepulse (800 nm, 200 ps) in gas jet of C2H6-H2 mixtures, to guide the propagation of the ultraintense pump pulse (800 nm, 100 fs). Transmission data, as presented in Figure 1, show that the
pump pulse maintains a single mode while further ionizing the gas target during propagation,
thereby creating uniform plasma channel, much longer than the Rayleigh length of the pump
pulse. The maximal transmitted intensity we have observed, so far, is ~1.5×1018 W/cm2, with a
FWHM of ~5 μm [1]. Carbon spectra from the resulted plasmas show positive signs of favorable
conditions for lasing in “Water Window”. In particular, the relative intensity of the 2-1
transition of CV ions at 4.02 nm, as compared to the 3-1 transition, is noticeably enhanced in the
presence of plasma waveguides, indicating possible lasing actions.
Fig.1: Transmission of 200 mJ fsec pulses (from right to left) in plasmas created in gas mixture
of 90% Hydrogen and 10% Ethane (C2H6), transmitted beam profiles are taken at the exit of the
plasma channel (a) without pre-formed plasma waveguide, and (b) with pre-formed plasma
waveguide, created by 120 mJ fs pre-pulse and 150 mJ pulse through axicon lens with 1.2 ns
delay;
Reference:
[1] Y. Luo, et al., Transmission of fs laser pulses in plasma waveguides, in preparation
ICXRL 2014 Conference Program and Book of Abstracts
Page 61
ICXRL 2014 Conference Program and Book of Abstracts
Page 62
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Seeded operation of a Ne-like Titanium soft x-ray laser: beam profile, density gradient
evolution, and path towards higher density gain region
Authors: O. Guilbaud1, S. Kazamias1, K. Cassou1, O. Delmas1, J. Demailly1, O. Neveu1, D.
Ros1, E. Baynard2, M. Pittman2, M. Shazad3, A. Rossal3, G.J. Tallents3, A Le Marec4, A.
Klisnick4, L. Lu5, Ph. Zeitoun5, G.V. Cojocaru6, R. G. Ungureanu6, R. A. Banici6, D. Ursescu6
Author Affiliation:
[1]
LPGP,CNRS, université Paris Sud, 91405 Orsay Cedex, France
[2]
LUMAT, CNRS, université Paris Sud, 91405 Orsay Cedex, France
[3]
Department of Physics, University of York, York, YO10 5DD, United Kingdom
[4]
Institut des sciences moléculaires d’Orsay, CNRS, Université Paris-Sud, 91405 Orsay
Cedex, France
[5]
Laboratoire d’Optique Appliquée, ENSTA, École Polytechnique, 91761 Palaiseau Cedex,
France
[6]
National Institute for Lasers, Plasma and Radiation Physics (INFLPR), Atomistilor 409,
Magurele 077125, Romania
Presenter: Olivier Guilbaud
Presentation Type: Oral
Abstract:
Multi-terawatt laser systems are ideal tools for jitter-free pump-probe experiments with
highly different wavelengths. In this context, plasma based soft x-ray laser are interesting short
wavelength probe for surface interferometry, plasma physics or warm dense matter studies. They
usually require a low energy pulse train or pedestal [1] in order to reach a high yield. However,
high contrast or precisely tailored pulse shape are requested for the pump (see for example M.
Shahzad in this conference) [2].
We will present in this paper recent progress achieved on plasma based soft x-ray laser
pumping. These studies have been performed with the LASERIX facility. A frequency doubled
commercial Q-switched Nd-YAG laser is line-focused on the target to create a low ionisation
stage, expanded pre-plasma. After few nanosecond delay, a single Ti:Sa compressed laser pulse
is focussed on the pre-plasma in the GRIP configuration. This pulse is finishing the ionisation
and is inducing the collisionnal gain generation. Successful lasing has been demonstrated from
13.9 nm (Ni-like Ag) to 32.6 nm (Ne-like Ti). Recently, the yield has been significantly
upgraded by introducing an additional short pulse tens of picosecond prior to the main pulse [3].
This pulse structure is generated just before the last focussing optics (see also D. Ursescu in this
conference).
Besides, seeded operation of a Ne-like Titanium (32,6nm) [4] soft x-ray laser has been
demonstrated in both configurations. High brightness, low divergence, have been observed.
HHG delay scan enables a precise measurement of the plasma amplification temporal window.
Moreover, the far-field detector dynamics was sufficiently large to record the harmonic beam
profile, amplified or not. We will show that this set of data is of great interest to study the special
features of this pumping configuration where ionisation and density gradient are indeed expected
to change on a few picoseconds timescale. Presence of an electron density “bump” will be
discussed. Temporal coherence of the present seeded source has been measured and will be
presented. This result gives a precious input to discuss path toward shorter pulse duration. In this
framework,
preliminary
resultsand
of aBook
two-color
pumping experiment shows dramaticPage
change
ICXRL
2014 Conference
Program
of Abstracts
63 in the
soft x-ray laser behaviour which might be the sign of lasing at higher electron density with a
potential four time increase in bandwidth.
ICXRL 2014 Conference Program and Book of Abstracts
Page 64
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Output beam polarisation of X-ray lasers with transient inversion
Authors: K. A. Janulewicz1, C. M. Kim2, B. Matouš5, H. Stiel3, M. Nishikino4, N. Hasegawa4,
T. Kawachi4
Author Affiliation:
[1] Department of Physics and Photon Science, Gwangju Institute of Science and
Technology, Gwangju, 500-712 Rep. of Korea
[2] Institute of Basic Research and Advanced Photonics Research Institute, Gwangju
Institute of Science and Technology, Gwangju, 500-712 Rep. of Korea
[3] Max Born Institute, 12489 Berlin, Germany
[4] Japan Atomic Energy Agency, 8-1-7 Umemidai, Kizugawa, Kyoto 619-0215, Japan
[5] Faculty of Nuclear Science and Physical Engineering, Czech Technical University,
Prague,
Czech Republic
Presenter: K. A. Janulewicz
Presentation Type: Oral
Abstract:
It was commonly accepted that X-ray lasers, as the devices based on amplified spontaneous
emission (ASE), did not show any specific polarization in the output beam. It could be expected
that amplification process changes the polarization state. A few attempts to clear the situation did
not put much light on the effect [1,2]. The theoretical analysis within the uniform (single-mode)
approximation focusing on the dynamics of X-ray laser generation suggested that in such a case
the output radiation should show some level of polarization but randomly changing from shot-toshot [3]. To verify this hypothesis we have conducted relevant experiment at JAEA using
traditional double-pulse scheme of transient inversion. Membrane beam splitter tested with an
incoherent source and recalibrated on a synchrotron beamline was used as a polarization selector.
Surprisingly, it was found that the output radiation has a significant component of p-polarisation
in each shot. To explain the effect and place it in the line with available (scars) data, it was tried
to analyse the propagation and kinetic effects in the non-uniform plasma. The results of this
analysis are subject of the talk.
References
1. T. Kawachi et al., Phys. Rev Lett. 75, 3826 (1995)
2. B. Rus et al., Phys. Rev A 51, 2316 (1996)
3. C. M. Kim et al., Phys. Rev A 84, 013834 (2011)
ICXRL 2014 Conference Program and Book of Abstracts
Page 65
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Modeling of a high density Ni-like Kr amplifier seeded with high harmonics
Authors: E. Oliva1, B. Paradkar1, A. Depresseux2, F. Tissandier2, S. Sebban2 and G. Maynard1
Author Affiliation:
[1] Laboratoire de Physique des Gaz et des Plasmas, Université Paris Sud/CNRS UMR8578,
91405 Orsay, France
[2] Laboratoire d´Optique Appliquée (LOA), Ensta ParisTech, Ecole Polytechnique
ParisTech, CNRS UMR7639, 91761 Palaiseau, France
Presenter: E. Oliva
Presentation Type: Oral
Abstract:
Dense (>1019 cm-3) plasma amplifiers seeded with high harmonics (HH) promise to be efficient
table-top sources delivering sub-picosecond pulses of intense and coherent soft X-ray radiation
[1]. Moreover high pressure gas targets allow to operate at high frequency. The lasing ion (Nilike Krypton in our case) can be created by Optical Field Ionization (OFI) provided that the
infrared (IR) pump laser can propagate through the dense plasma over several mm. This can be
accomplished using a plasma channel that can act as a waveguide compensating the defocusing
and guiding the IR pulse over several Rayleigh lengths. Recently it has been experimentally
demonstrated, using the 60 TW laser ‘Salle Jaune’ at LOA, that the ignitor+heater technique can
indeed create a plasma channel over several mm in a high pressure Kr gas jet. The purpose of the
present work is to analyze, through numerical modeling, the propagation of the high intensity IR
beam in this channel and the subsequent amplification of seeded HH.
The propagation of the IR pulse along the plasma channel was modeled using WAKE -EP [2].
Our results shows that, in the case of a high atomic number gas such as Kr, this transport is
governed by strong competition between the defocusing close to the axis due to high negative
density gradient and focusing at the channel borders induced by positive gradient. The conditions
for optimal guiding relevant to XUV amplification will be discussed at the conference.
The modeling of the amplification of the seeded harmonics has been done by combining a
Maxwell-Bloch treatment [3] with a collisional -radiative description of the atomic kinetics. Our
results show that, although complicated temporal structures may arise after amplification, the
duration of the amplified pulse is several hundreds of femtoseconds, well below the picosecond
regime.
Figure 1. Intensity profile of the IR pulse after propagating
five Rayleigh lengths through the plasma channel.
This work has been partially funded by the project ANR -11BS04-0023 ROLEX
References
[1] P. Zeitoun et al, Nature 431, 426 (2004); [2] B. S. Paradkar, B.
Cros, P. Mora, and G. Maynard, Phys. Plasmas 20, 083120 (2013);
[3] E. Oliva et al, Phys. Rev. A, 84, 013811 (2011)
ICXRL 2014 Conference Program and Book of Abstracts
Page 66
ICXRL 2014 Conference Program and Book of Abstracts
Page 67
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Relativistic Mirrors from Nanoscale Foils
Authors: C. L. S. Lewis1 D. Kiefer2,3, M. Yeung1, T. Dzelzainis1, S. G. Rykovanov2, R.
Marjoribanks6, H. Ruhl3, D. Habs2,3, J. Schreiber2,3, M. Zepf1,5 and B. Dromey1
Author Affiliation:
[1] Department of Physics and Astronomy, Queen’s University Belfast, BT7 1NN, UK
[2] Max-Planck-Institut für Quantenoptik, Hans-Kopfermann-Strasse 1, 85748 Garching,
Germany
[3] Fakultät für Physik, Ludwig-Maximilians-Universität München, Am Coulombwall 1,
85748 Garching, Germany
[4] Helmholtz Institut Jena, Fröbelstieg 3, 07743 Jena, Germany
[5] Department of Physics, University of Toronto, Ontario M5S 1A7, Canada
Presenter: C. L. S. Lewis
Presentation Type: Oral
Abstract:
Reflecting light from a mirror moving close to the speed of light has been envisioned as a route
towards producing bright X-ray pulses [1]. For an ideal relativistic mirror the peak power of the
reflected radiation can substantially exceed that of the incident radiation due to the increase in
photon energy and an accompanying temporal compression. Here, we demonstrate for the first
time that dense relativistic electron mirrors (REM) can be created from the interaction of a high
intensity laser pulse with a free-standing, nanometer-scale thin foil. These mirror-like structures
are shown to shift the frequency of a counter-propagating laser pulse coherently from the
infrared to the extreme ultraviolet with an efficiency >104 times higher than in the case of
incoherent scattering. Our results elucidate the reflection process of laser generated electron
mirrors and, in particular, demonstrate clear harmonic structure in the reflected radiation
spectrum (Figure 1) [2]. This indicates repeated reflection from multiple electron sheets that are
periodically generated during the intense laser-nanoscale foil interaction and presents clear
pathways to the production of a bright isolated XUV pulse from a REM structure.
Figure 1. Harmonic structure in the upshifted radiation spectrum
References
1. Einstein, A. Ann. Phys. Leipz. 17, 891 (1905).
2. Qiao,B., et al., New J. Phys. 11, 103042 (2009)
2. Kiefer, D., et al., Nat. Phys, 4, 1763 (2013)
ICXRL 2014 Conference Program and Book of Abstracts
Page 68
ICXRL 2014 Conference Program and Book of Abstracts
Page 69
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: The role of plasma scalelength in the generation of coherent synchrotron emission from
relativistic laser plasmas
Authors: B. Dromey1, D. Jung1, M. Yeung1, T. Dzelzainis1, S. G. Rykovanov2, H. Ruhl3, D.
Habs2,3, J. Schreiber2,3, C. L. S. Lewis1, M. Zepf1,4
Author Affiliation:
[1] Department of Physics and Astronomy, Queen’s University Belfast BT7 1NN, UK
[2] Max-Planck-Institut für Quantenoptik, Garching, Germany
[3] Fakultät für Physik, Ludwig-Maximilians-Universität München, Germany
[4] Helmholtz Institut Jena Germany
Presenter: B. Dromey
Presentation Type: Oral
Abstract:
High harmonic generation from intense laser solid density interactions [1,2] has, over the last
decade, demonstrated its clear potential as a next generation source of ultrafast, coherent X-rays.
Observations of attosecond phase locking [3] and diffraction limited performance [4] have
shown that the exceptional coherence properties of the intense driving laser (>1020 Wcm2) can be
transferred directly to the extreme ultraviolet (XUV) and X-ray regions of the spectrum with
unprecedented efficiency. To date this has been achieved via the formation of a relativistically
oscillating mirror (ROM) at the critical density surface (up to 1000’s of harmonic orders [5]).
Recent theoretical work [6], however, has indicated a novel mechanism for coherent XUV
generation at the steep plasma vacuum boundary – Coherent Synchrotron Emission (CSE) from
dense nanobunches of electrons formed and accelerated in the field of the intense driving laser
(>1019Wcm-2). While these simulations suggest such emission can be observed in specular
reflection during oblique incidence interactions, evolving plasma parameters (in particular
plasma density scalelength) have prevented experimental observations to date. Here we present
scaling in the transmitted direction for intense laser-nanometer thick foils verifying CSE from
dense nanobunches of electrons [7,8]. Furthermore, we demonstrate how changing the prepulse/pre-plasma conditions modifies the structure and scaling of the emitted harmonic spectrum.
References
[1] B. Dromey, et al., Nature Phys. 2, 456 (2006).
[2] F. Quéré, et al.,Phys. Rev. Lett. 96, 125004 (2006)
[3] Y. Nomura, , et al., Nature Physics 5, 124 - 128 (2009)
[3] B. Dromey, et al., Nature Physics 5, 146 - 152 (2009)
[5] B. Dromey et al., Phys. Rev. Lett. 99, 085001 (2007)
[6] An der Brugge, D., Pukhov, A., Phys. Plasmas 17, 033110 (2010)
[7] B. Dromey, et al., Nature Phys. 8, 804 (2012)
[8] M. Yeung, et al., Phys. Rev. Lett. 112, 123902 (2014)
ICXRL 2014 Conference Program and Book of Abstracts
Page 70
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Polarization Gating in Relativistic Laser-Solid Interactions
Authors: M. Yeung1, M. Zepf1,2, B. Dromey2, S. Cousens2, M. Coughlan2, T. Dzelzainis2, S.
Rykovanov3, J. Bin3,4, W. Ma3,4, C. Kreuzer3, D. Kiefer3,4, J. Schreiber3,4, J. Meyer-ter-Vehn4, P.
Foster5, M. Streeter6, C. Rödel1,7, J. Bierbach1,7, S. Kuschel1,7, E. Eckner7, G. Paulus1,7
Author Affiliation:
[1] Helmholtz-Institut Jena, Germany
[2] Department of Physics and Astronomy, Queen’s University Belfast, UK
[3] Fakultät für Physik, Ludwig-Maximilians-Universität München, Germany
[4] Max-Planck-Institut für Quantenoptik, Germany
[5] Central Laser Facility, STFC Rutherford Appleton Laboratory, UK
[6] Blackett Laboratory, Imperial College London, UK
[7] Institut für Optik und Quantenelektronik, Friedrich-Schiller-Universität, Germany
Presenter: M. Yeung
Presentation Type: Oral
Abstract:
High order harmonic generation from relativistic laser-solid interactions (focused intensity of
>1018Wcm-2) has the potential to serve as a source of bright attosecond radiation. Two key
mechanisms that lead to such radiation are the Relativistically Oscillating Mirror (ROM) 1, where
the overdense plasma surface oscillates at relativistic velocities thus Doppler upshifting the
reflected laser radiation, and Coherent Synchrotron Emission (CSE)2 where nanometer scale
dense bunches of electrons are driven in synchrotron like trajectories that can also lead to short
wavelength emission in the transmitted direction. A major obstacle to the application of such
sources is that the radiation is emitted as a periodic pulse train with the frequency (or, in some
cases, twice the frequency) of the driving laser. One route to limiting this emission to a single
pulse is to exploit the ellipticity dependence of these mechanisms by forming a pulse whose
polarization varies from circular to linear to circular - a technique known as polarization gating3.
At small angles of incidence it is expected that the efficiency of these processes drops
dramatically for circular polarization4. Here we present experimental results obtained on the
ASTRA Gemini laser demonstrating this property for transmitted CSE as well as particle-in-cell
simulations that demonstrate that polarization gating can be applied to achieve isolated
attosecond pulses. Furthermore, a novel method of implementing this technique for high
power (≈>1J) laser pulses is presented along with proof of principle experimental results for
ROM harmonics.
References
1.
Bulanov, S., Naumova, N. M. & Pegoraro, F. Phys. Plasmas 1, 745 (1994)
2.
Dromey, B. et al. Nat. Phys. 8, 804-808 (2012)
3.
Rykovanov, S. et al. New J. Phys. 10, 025025 (2008).
4.
Lichters, R., Meyer-ter-Vehn, J. & Pukhov, A. Phys. Plasmas 3, 3425-3437 (1996)
ICXRL 2014 Conference Program and Book of Abstracts
Page 71
ICXRL 2014 Conference Program and Book of Abstracts
Page 72
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Progress and prospects of a Compton x-ray source driven by a high-power CO2 laser
Authors: Igor Pogorelsky
Author Affiliation:
Brookhaven National Laboratory, Accelerator Test Facility, 820M, Upton NY 11973
Presenter: Igor Pogorelsky
Presentation Type: Oral
Abstract:
X-ray sources based on inverse Compton scattering, which emanates from
relativistic electron-beams wiggling inside a laser focus, are well known for their high peakbrightness combined with their well-controlled beam properties. We review recent progress in
two research areas : Demonstrating the source’s spatial coherence, so leading to single-shot,
ultra-fast, phase-contrast tomography; and, exploring the ultra-relativistic regimes of electron
oscillation within the laser field that generate multiple Compton harmonics. Next-generation
Compton sources most likely will utilize all-optical schemes in which a laser serving as a virtual
wiggler simultaneously will drive an electron beam from a plasma-wakefield accelerator. We
address the real possibility of reaching full coherency of all-optical Compton sources, analogous
to free-electron lasers.
ICXRL 2014 Conference Program and Book of Abstracts
Page 73
Title: X-ray Generation in Ultra-High Energy Density Relativistic Plasmas by Ultrafast Laser
Irradiation of Nanowire Arrays
Authors: Michael. A. Purvis 1 ,Vyacheslav N. Shlyaptsev 1 , Reed Hollinger 1, Clayton
Bargsten1, Alexander Pukhov1 ,David Keiss 1 ,Amanda Towsend 1 ,Yong Wang 1 ,Shoujun Wang
1,
Liang Yin 1, Amy Prieto 1, Mark Berrill3, Bradley Luther 1,3, Jorge. J. Rocca1
Affiliations:
1
Colorado State University, Fort Collins, CO, USA
Institut für Theoretische Physik, Heinrich-Heine-Universität Düsseldorf, Düsseldorf,
Germany
3
Oak Ridge National Laboratory, and Colorado State University
Presenter: Jorge Rocca
Presentation Type: Oral
Abstract
The heating of high density matter to extreme temperatures motivates the development of
powerful lasers. However, the barrier the critical electron density imposes to light penetration
into ionized materials results in the deposition of most of the laser energy into a thin surface
layer at typically only 0.1% of solid density. The small plasma volume results in a short
hydrodynamic lifetime that limits the efficiency with which x-rays are emitted. We demonstrate
the volumetric heating of near-solid density plasmas to keV temperatures using ultra-high
contrast femtosecond laser pulses of only 0.5 J energy to irradiate arrays of vertically aligned
nanowires [1]. Our x-ray spectra and particle-in-cell (PIC) simulations show extremely highly
ionized plasma volumes several micrometers in depth are generated by irradiation of Au and Ni
nanowire arrays with femtosecond laser pulses of relativistic intensities. Arrays of vertically
aligned Ni nanowires with an average density of 12% solid were ionized to the He-like stage. It
is remarkable that the He-like line emission from the nanowire target exceeds the intensity of the
Ni Kα line at this irradiation intensity, since in previous work with Cu foils the emission from
the Kα lines was only surpassed at irradiation intensities > 2x1020 Wcm-2 . Similarly near-solid
density Au nanowire arrays were ionized to the Fe-like (Au 53 +). This volumetric plasma heating
approach creates a new laboratory plasma regime in which extreme plasma parameters can be
accessed with table-top lasers. The increased hydrodynamic-to-radiative lifetime ratio is
responsible for a dramatic increase in the x-ray emission with respect to polished solid targets.
Implications to soft x-ray laser development will be discussed.
2
Work supported by DTRA and the US Department of Energy. A.P acknowledges the support of
DFG-funded project TR18
1.
M. A. Purvis, V. N. Shlyaptsev, R. Hollinger, C. Bargsten, A. Pukhov, A. Prieto, Y.
Wang, B. Luther, L. Yin, S. Wang, J. J. Rocca, “Relativistic plasma nano-photonics for ultrahigh energy density physics” , Nature Photonics 7, 796 (2013).
ICXRL 2014 Conference Program and Book of Abstracts
Page 74
ICXRL 2014 Conference Program and Book of Abstracts
Page 75
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Proximity printing and interference lithography with a plasma-based EUV source
Authors: H. Kim1, S. Danylyuk2, S. Brose2, K. Bergmann3, and L. Juschkin1
Author Affiliation:
[1] [RWTH Aachen University and JARA—Fundamentals of Future Information
Technology, Chair for the Experimental Physics of EUV, Germany]
[2] [RWTH Aachen University and JARA— Fundamentals of Future Information
Technology, Chair for the Technology of Optical Systems, Germany]
[3] [Fraunhofer Institute for Laser Technology, Germany]
Presenter: Hyun-su Kim
Presentation Type: Oral
Abstract:
The possibilities and limitations of proximity printing and interference lithography with
extreme ultraviolet (EUV) radiation are explored theoretically and experimentally. Utilizing
partially coherent EUV radiation with a wavelength of 10.88 nm from a Xe/Ar gas discharge
plasma source, proximity patterning of various nanoantenna arrays has been performed. The
experimental results are compared with the results of numerical scalar diffraction simulations,
and it shows that proximity printing in the Fresnel diffraction can produce the high-resolution
features even with lower resolution masks, and demonstrate sub-30 nm edge resolution in the
resist. The potential of the method has been shown by simulation of the patterning through
circular and triangular apertures as well as through bowtie antenna patterns, with the results
suggesting that precise control of the proximity gap and the exposure dose together with
simulation-supported mask design optimizations may allow for a wide variety of high-resolution
structures to be printed through relatively simple transmission masks. The method is especially
suited for high-performance manufacturing of sub-micrometer sized nanophotonic arrays.
Additionally, the resolution limits of the self-imaging Talbot lithography with EUV
radiation have been evaluated theoretically. The size of object on the mask approaches the
wavelength of the radiation, which means Fresnel diffraction theory is no longer valid in this
case, and reflective index of materials plays an important role in EUV system. Here, the results
of finite-difference time- domain simulations of the diffraction behind the Ni/Nb-based
transmission masks in dependence on the period (or pitch) are presented. The results demonstrate
the method can be used to produce patterns with resolution down to 7.5-nm half-pitch with 2:1
mask demagnification utilizing achromatic Talbot effect with transverse electric (TE)-polarized
light.
References
1. S. Danylyuk, H. Kim, S. Brose, L. Juschkin, et al, J. Micro/Nanolith. MEMS MOEMS., 12
(3), 033002 (2013)
2. S. Danylyuk, H. Kim, L. Juschkin et al, J. Vac. Sci. Tech. B 31(2), 021602 (2013)
3. K. Bergmann, S. Danylyuk, L. Juschkin, J. Appl. Phys. 106, 073309 (2009)
ICXRL 2014 Conference Program and Book of Abstracts
Page 76
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Keyhole reflection-mode coherent diffractive imaging of nano-patterned surfaces using a
tabletop EUV source
Authors: Elisabeth Shanblatt1, Matthew Seaberg1, Bosheng Zhang1, Dennis Gardner1, Margaret
Murnane1, Henry Kapteyn1, Daniel Adams1
Author Affiliation:
[1] [University of Colorado at Boulder]
Presenter: Elisabeth Shanblatt
Presentation Type: Oral
Abstract:
We demonstrate the first reflection-mode keyhole coherent diffraction imaging (CDI) of nonisolated samples from a single diffraction pattern. A tabletop high harmonic generation (HHG)
beam at 30 nm with a curved wave-front is used to illuminate Ti nano-patterns on a Si substrate
at 45 degree angle of incidence. The 30 nm illumination beam profile is first characterized using
ptychograhic CDI. Keyhole CDI is then used to image the nano-sample. In contrast to
ptychography CDI, keyhole CDI needs only one diffraction pattern, and therefore requires no
scanning of the sample. This is a significant advantage for ultrafast pump-probe imaging of
thermal or spin transport, allowing a sequence of time-delayed images of the same region to be
easily acquired. Our technique opens the door for imaging dynamics in nanostructures with sub10 nm spatial resolution and fs temporal resolution.
References
Sakdinawat and Attwood, Nature Photonics, 4, 840 (2010).
C. Song et al., Phys. Rev. B 75, 012102 (2007).
Miao et al., Nature 400, 342 (1999).
M. Seaberg et al. Opt. Express 19, 22470-22479 (2011).
G. Williams, et al., Phys. Rev. Lett. 97, 1 (2006).
G. Williams, et al., Thin Solid Films 515, 5553 (2007).
B. Zhang, et al., Opt. Express 21, 21970-21980 (2013).
Seaberg et al., submitted 2014.
ICXRL 2014 Conference Program and Book of Abstracts
Page 77
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Nanoscale Imaging with Soft X-Ray Lasers
Authors: C.S. Menoni1,2, J. Nejdl1,4, N. Monserud1,2, I. D. Howlett1,2, D. Carlton1,3, E.H.
Anderson1,3, W. Chao1,3, M. C. Marconi1,3, and J. J. Rocca1,3
Author Affiliation:
[1] National Science Foundation Engineering Research Center for Extreme Ultraviolet
Science and Technology
[2] Electrical and Computer Engineering, Colorado State University, Fort Collins, USA
[3] Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA, USA
[4] ELI Beamlines project, Institute of Physics of AS CR, Prague, Czech Republic
Presenter: Carmen S. Menoni
Presentation Type: Oral
Abstract:
Table-top soft-ray laser beams with pulse energies of tens of microjoules combined with soft xray optics have enabled the implementation of imaging systems that can capture space-time
resolved images with nanoscale resolution in transmission and reflection configurations [1,2,3,
4]. This talk will describe recent advances in full field aerial SXRL microscopy which have
enabled imaging of tissue sections with high spatial resolution. These images are essentially
absorption contrasts maps that do not carry any phase information. We will show that with
simple modifications of this SXRL microscope it is possible to implement differential
holographic microscopy (DHM). In DHM the image wavefront is overlapped at the detector
plane with a reference beam resulting in an interference pattern from which phase and
absorption contrast images can be reconstructed from the fringe analysis. We demonstrate the
concept by imaging an object consisting of an Si dense lines in an elbow geometry that has less
than 30% absorption contrast at a wavelength of 46.9 nm. The ability to quantitatively
determine phase is critical at SXR wavelengths when the absorption contrast is low. In turn, it
has the potential to assess variations in density or thickness of samples at the nanoscale.
References
[1] C. A. Brewer et al., “Single-shot extreme ultraviolet laser imaging of nanostructures with
wavelength resolution,” Opt. Lett. 33, 518-520 (2008).
[[2] F. Brizuela et al., “Microscopy of extreme ultraviolet lithography masks with 13.2 nm tabletop laser illumination”, Opt. Lett., 34, 271-273, (2009).
[3] S. Carbajo et al., “Sequential single-shot imaging of nanoscale dynamic interactions with a
table-top soft x-ray laser,“ Opt. Lett., 37, 2994-2996 (2012).
[4] G. Vaschenko, et al., “Sub-38 nm resolution tabletop microscopy with 13 nm wavelength
laser light,” Opt. Lett., 31, p. 1214-1216.(2006).
ICXRL 2014 Conference Program and Book of Abstracts
Page 78
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Nanoscale imaging using coherent and incoherent laboratory based soft x-ray sources
Authors: H. Stiel1,2, A. Dehlinger2,3, K.A. Janulewicz4, R. Jung1,2, H. Legall2,3, C. Pratsch5, S.
Rehbein5, C. Seim2,3, J. Tümmler1
Author Affiliation:
[1] Max-Born Institut für Nichtlineare Optik und Kurzzeitspektroskopie (MBI), Berlin, Germany
[2] Berlin Laboratory for innovative X-ray Technologies (BLiX), Berlin, Germany
[3] Technical University (TU), Berlin, Germany
[4] Department of Physics and Photon Science, Gwangju Institute of Science and Technology (GIST),
Gwangju, Republic of Korea
[5] Helmholtz-Zentrum Berlin (HZB), Germany
Presenter: H. Stiel
Presentation Type: Oral
Abstract:
Nanoscale imaging of biological samples in the lab as well as mask inspection in extreme
ultraviolet lithography near the production line with sub 30 nm resolution require high spectral
brightness soft x-ray sources. Laser produced plasma (LPP) sources and plasma based X-ray
lasers (XRL) emit soft X-ray radiation in the wavelength region of interest between 2 and 20 nm.
Whereas LPP sources easily can be tuned to the so called water window (2.2 - 4.4 nm) the output
of an XRL is restricted to relatively few fixed wavelengths in the extreme ultraviolet range.
However due to the relatively high degree of coherence the XRL is well suited also for nanoscale
imaging using coherent techniques like coherent diffraction imaging (CDI) or Fourier
transformed holography (FTH).
In this talk we report on nanoscale imaging of biological samples using a full field laboratory
transmission X-ray microscope (LTXM) based on a high brightness LPP source. The LTXM
consists of a high average power laser system, a liquid nitrogen jet as target system, a multilayer
condenser, a zone plate and a cryogenic sample stage [1]. We compare the performance of our
method with coherent imaging techniques such as CDI and FT holography. In conclusion we
discuss a new FTH setup for our high repetition rate XRL operating at 18.9 nm.
References
[1] Legall H, Blobel G, Stiel H, Sandner W, Seim C, Hertz, H, et al. Opt Expr. (2012) 20 183629.
ICXRL 2014 Conference Program and Book of Abstracts
Page 79
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Gain dynamics in injection-seeded soft x-ray laser plasma amplifiers
Authors: S. Wang1,Y.Wang1, E.Oliva2, L. Lu 2, M.Berrill3, L. Yin1, J. Nejdl1, B. Luther1, C.
Proux2, T.T. Thuy Le2, J. Dunn4, D. Ros2, Ph. Zeitoun2, J.J. Rocca1
Affiliations:
[1]
National Science Foundation ERC for Extreme Ultraviolet Science and
Technology and Colorado State University, Fort Collins, CO 80523, USA
[2]
Loratoire d’Optique Appliquée (LOA), ENSTA-École Polytechnique, Chemin de
la Hunière,91761 Palaiseau, France
[3]
Oak Ridge National Laboratory, OAK Ridge 37831 USA
[4]
Lawrence Livermore National Laboratory, Livermore, CA 94550, USA
Presenter: Shoujun Wang
Presentation Type: Oral
Abstract:
We present the first measurement of the gain dynamics in an injection-seeded soft x-ray plasma
amplifier [1]. A sequence of two time-delayed spatially-overlapping high harmonic pulses was
injected into a λ=18.9 nm Ni-like Mo plasma amplifier to measure the regeneration of the
population inversion that follows the gain depletion caused by the amplification of the first seed
pulse. Collisional excitation is observed to re-establish population inversion depleted during the
amplification of the seed pulse in about ~ 1.75 ps. The measured gain-recovery time is compared
to model simulations to gain insight on the population inversion mechanisms that create the
transient gain in these amplifiers. This result supports the concept of a soft x-ray laser
amplification scheme based on the continuous extraction of energy from a soft x-ray plasmabased amplifier by an stretched seed pulse has the potential to generate ultra-intense fully phasecoherent soft x-ray laser pulses.
Work supported by Office of Basic Energy Sciences of the US DoE, and the NSF ERC Program
with equipment developed under NSF Award MRI-ARRA 09-561, and by LASERLAB3INREX European project and SHYLAX plus CIBORG RTRA “Triangle de la Physique”
1. Y. Wang, S. Wang, E. Oliva, L. Lu, M. Berrill, L. Ying, J. Nejdl, B. M. Luther, C. Proux, T.
T. T. Le, J. Dunn, D. Ros, P. Zeitoun, J. J. Rocca, “Gain dynamics in a soft X-ray laser amplifier
perturbed by a strong injected X-ray field,” Nature Photonics, 8,381, (2014).
ICXRL 2014 Conference Program and Book of Abstracts
Page 80
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Wave front measurement of seeded soft x-ray laser with solid-plasma amplifier
Authors: L. Li,1,4 Y. Wang,2 S. Wang,2 E. Oliva,3 L. Yin,2 T. T. T. Le,3 S. Daboussi,1 D. Ros,3
G. Maynard,3 S. Sebban,1 B. Hu,4 J. J. Rocca,2 and Ph. Zeitoun,1*
Author Affiliation:
Laboratoire d’Optique Appliquée, ENSTA ParisTech, CNRS, Ecole Polytechnique, Palaiseau
91120, France
National Science Foundation Engineering Research Center for Extreme Ultraviolet Science and
Technology,Colorado State University, Fort Collins, Colorado 80523, USA
Laboratoire de Physique des Gaz et des Plasmas, Université Paris XI, Orsay Cedex, France
School of Nuclear Science and Technology, Lanzhou University, Lanzhou 730000, China
Presenter: L. Li
Presentation Type: Oral
Abstract:
Seeded soft x-ray laser (SXRL) by injecting high harmonics generation (HHG) pulse into SXRL
plasma amplifier, accompanied with significant energy enhancement and improvement of optical
beam properties, has been achieved in the past decade [1-4]. We conducted an experiment to
probe the wave front of this seeded SXRL source ( 18.9 nm) with a Hartmann wave front
sensor [5]. The observed seeded SXRL wave front reaching λ/5 root mean square (RMS) showed
an improvement by a factor of 2.5 in respect of HHG seed (λ/2 RMS) alone. We will report
about the study we performed on wave front variations versus plasma column length, HHG seed
injection angle and injection time. This study showed the potential of generating diffractionlimited beam. Furthermore, the experimental data were used to reconstruct the intensity map at
the SXRL exit plane, and sequentially to confirm its high peak brightness comparable to soft xray free-electron-laser (FEL) [6].
References:
[1] P. Zeitoun, G. Faivre, S. Sebban, T. Mocek, A. Hallou, M. Fajardo, D. Aubert, P. Balcou, F.
Burgy, D. Douillet, S. Kazamias, G. De Lacheze-Murel, T. Lefrou, S. Le Pape, P. Mercere, H.
Merdji, A. S. Morlens, J. P. Rousseau, and C. Valentin, Nature 431, 426 (2004).
[2] Y. Wang, E. Granados, F. Pedaci, D. Alessi, B. Luther, M. Berrill, and J. J. Rocca, Nat.
Photonics 2, 94 (2008).
[3] E. Oliva, M. Fajardo, L. Li, M. Pittman, T. T. T. Le, J. Gautier, G. Lambert, P. Velarde, D.
Ros,
S.
Sebban,
and
P.
Zeitoun,
Nat.
Photonics
6,
764
(2012).
[4] Y. Wang, S. Wang, E. Oliva, L. Li, M. Berrill, L. Yin, J. Nejdl, B. M. Luther, C. Proux,
T.T.T. Le, J. Dunn, D. Ros, Ph. Zeitoun, J. J. Rocca, Nat. Photonics, in press (2014).
[5] L. Li, Y. Wang, Sh. Wang, E. Oliva, L. Yin, T.T. T. Le, S. Daboussi, D. Ros,G. Maynard, S.
Sebban, B. Hu, J. J. Rocca, and Ph. Zeitoun, Opt. Lett. 38, 4011 (2013).
[6] P. R. Ribic and G. Margaritondo, J. Phys. D: Appl. Phys. 45, 213001 (2012).
ICXRL 2014 Conference Program and Book of Abstracts
Page 81
ICXRL 2014 Conference Program and Book of Abstracts
Page 82
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Spectral and coherence properties of the PALS X-ray laser
Authors: A. Le Marec1, L. Meng1, M. Kozlova2, J. Nejdl2, F. Tissandier3, O. Guilbaud4 and A.
Klisnick1
Author Affiliation:
[1] ISMO, CNRS, Université Paris-Sud, Orsay, France
[2] Institute of Physics of the ASCR, Prague, Czech Republic
[3] LOA, CNRS, ENSTA, Palaiseau, France
[4] LPGP, CNRS, Université Paris-Sud, Orsay, France
Presenter: A. Le Marec
Presentation Type: Oral
Abstract:
We present the results obtained in an experimental campaign recently carried out at the PALS
facility (Prague, Czech Republic), aimed at characterizing the spectral and coherence properties
of a quasi-steady state (QSS) X-ray laser (Ne-like Zn, λ = 21.2 nm) [1]. We detail the
measurement and analysis techniques, and we discuss the measured spectral widths in relation to
the plasma conditions of the QSS X-ray laser.
The knowledge of the spectral and coherence properties of X-ray lasers is essential both for
applications of these sources involving interferences or focusing, and for fundamental reasons
since they are strongly related to the local plasma parameters where amplification takes place
[2]. The bandwidths of Optical Field Ionization [3], transient [4] and Capillary discharge [5] Xray lasers, previously characterized by our group, are very narrow (∆υ ~ 1011-1012 Hz), thus
limiting their Fourier limit duration to about 1 picosecond. The aim of our experiment was to
investigate whether the bandwidth of the QSS X-ray laser, operating at high plasma density and
temperature, was broader and thus more compatible with femtosecond amplification than other
existing types of operational X-ray lasers.
Because of the extremely narrow linewidth of X-ray lasers, we use a specifically designed
wavefront-division interferometer with variable path difference [6] to measure the temporal
coherence and infer the spectral profile of the source. This interferometer allows to reach
extremely high spectral resolution, beyond the capability of any existing spectrometer in this
wavelength range. Besides, we show that this interferometer can also be used to characterize the
spatial coherence of the source.
Our results confirm our preliminary observation [7] that the temporal coherence of the QSS Zn
X-ray laser is 5-10 times shorter than previously measured in all other types of X-ray laser, with
a corresponding Fourier limit duration of about 500 femtoseconds. Our measurements are in
good agreement with numerical simulations involving detailed spectral broadening and radiative
transfer calculations [8].
References:
[1] B Rus et al., Phy. Rev. A, 66 (2002)
[7] L. Meng et al., Proc. of the 13th ICXRL,
[2] A. Calisti et al., HEDP, 9 (2013)
Springer (2013)
[3] F. Tissandier et al., Phy. Rev. A, 81
[8] O. Guilbaud, Doctorate thesis, Université
(2010)
Paris-Sud, Orsay, France (2004)
[4] Y. Wang et al., Nat. Phot., 94 (2008)
[5] L. Urbanksi et al., Phy. Rev. A, 85
(2012)2014 Conference Program and Book of Abstracts
ICXRL
Page 83
[6] A. Klisnick, et al., J.Q.S.R.T. 99 (2006)
ICXRL 2014 Conference Program and Book of Abstracts
Page 84
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Single-shot Soft-x-ray Laser Linewidth Measurements with a Grating Interferometer
Authors: L. Yin1, Y. Wang1, S. Wang1, M. Berrill2, M.C. Marconi1, O. Martinez3, J. Dunn4,
J.J. Rocca1
Author Affiliation:
[1] NSF ERC for Extreme Ultraviolet Science and Technology, Colorado State University,
USA
[2] Oak Ridge National Laboratory, USA
[3] Universidad de Buenos Aires, Argentina
[4] Lawrence Livermore National Laboratory, USA
Presenter: Liang Yin
Presentation Type: Oral
Abstract:
The line width of soft x-ray laser beams generated from highly charged ions in dense plasma
amplifiers is an important parameter that influences the gain behavior and ultimately limits their
minimum pulsewidth. An innovative interferometer design, that we had proposed many year ago
but never implement before [1], was used to measure in a single shot the linewidth of a plasmabased grazing incidence table-top soft x-ray laser operating in the λ=14.7 nm 4d1S0-4p1P1 line of
Ni-like Pd. The technique uses diffraction gratings as beam splitter in a Mach-Zehnder
configuration that introduces a time delay across the detector plane. Measurement of the
temporal coherence length, and hence the linewidth, were obtained for different grazing
incidence angles of the optical pump laser that heats the plasma [2]. The temporal coherence
length for plasmas generated by irradiation at different grazing incident angles was measured,
yielding values that vary from 221±10 μm to 191±8 μm, corresponding to FWHM spectral
linewidths of Δλ/λ= 2.9×10−5 to 3.4×10−5. Results were also obtained for an injection-seeded
configuration in which a high harmonic pulse is use to seed the amplifier.
References
[1] J.L.A. Chilla, J.J. Rocca, O.E. Martinez, and M.C. Marconi, “Soft-X-ray interferometer for
single-shot laser linewidth measurements,” Optics Letters 21, 955 (1996).
[2] Y. Wang, L. Yin, S. Wang, M. C. Marconi, J. Dunn, E. Gullikson, J. J. Rocca, “Single-shot
soft X-ray laser linewidth measurement using a grating interferometer,” Optics Letters 38, 5004
(2013).
ICXRL 2014 Conference Program and Book of Abstracts
Page 85
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Study of Laser-driven Betatron Radiation Source in IOP
Authors: Liming Chen, W. C. Yan, Y. Ma, Z. M. Sheng, J. Zhang
Author Affiliation:
Institute of Physics, Chinese Academy of Sciences, Beijing 100190, China
Presenter: Liming Chen
Presentation Type: Oral
Abstract
Hard x-ray emission from fs laser produced plasmas have a number of interesting applications in
the dynamic probing of matter and in medical/biological imaging. Betatron radiation is a
collimated laser-driven hard x-ray source with fs duration which generated by electron
transversely oscillation during acceleration in underdense plasmas. However, yield of this source
is always limited by controdictory between parameters during electron acceleration. we will
present our recent progress in enhancing accelerating procedure to enrich the x-ray photon yield.
We have demonstrated a new method for generating intense betatron x-rays using a clustering
gas target irradiated with an ultra-high contrast laser of 3 TW only [1]. The yield of the wellcollimated Ar x-ray betatron emission has been measured to be 2×108 photons/pulse, which is
over ten-fold enhancement compared to the emission yield produced by using a normal gas
target. Simulations point to the existence of clustering as a contributor to the DLA mechanism,
leading to higher accelerated electron charge (x50) and much larger electron wiggling (~8 μm)
amplitudes in the plasma channel, thereby finally enhancing the betatron x-ray photons.
A possible regime of generation of bright betatron radiation in electron acceleration was studied
in experiment and simulation [2]. Two electron bunches with different qualities were injected
sequentially into the wakefield driven by a super-intense laser pulse. The first one is a monoenergetic electron bunch with peak energy of 1 GeV, and the second one is injected continuously
with large charge and performs transverse oscillation with large amplitude during the subsequent
acceleration. The energy of photons emitted by transverse oscillated electrons ranges reaches
MeV level, and the average yield is estimated to be a level of 1010. This phenomenon is believed
to be the result of laser hosing instability. When propagates in plasma, laser pulse undergoes
periodic self-focusing and defocusing. The moderate self-focusing and defocusing at beginning
causes longitudinal stretch and contraction of the plasma bubble generating the first near GeV
mono-energetic electron bunch, then the significant pulse hosing leads to a significant bubble
stretch triggering the second electron bunch injected continuously with large charge. Hosing
instability cause the enhancement of transverse oscillation of the second electron bunch which
results in the betatron radiation has -ray photon energy and peak brilliance beyond that of 3rd
generation synchrotron facilities.
[1] L. M. Chen et al, Sci. Reports 3, 1912(2013)
[2] W. C. Yan, L. M. Chen et al, PNAS (2014) doi:10.1073/pnas.140433611
ICXRL 2014 Conference Program and Book of Abstracts
Page 86
ICXRL 2014 Conference Program and Book of Abstracts
Page 87
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Thin disk lasers with high pulse energy at high average power
Authors: R. Jung1, J. Tuemmler1, Th. Nubbemeyer2, I. Will1. H. Stiel1, D. Kandula1, M.
Vrakking1
Author Affiliation:
[1] Max-Born-Institute for Nonlinear Optics and Short Pulse Spectroscopy, Berlin, Germany
[2] LMU / MPQ Munich, Germany
Presenter: Robert Jung
Presentation Type: Oral
Abstract:
Thin disk technology has been used e.g. in reliable industrial laser systems since many years. In
addition to cutting and welding in cw-mode, these laser systems can deliver low or medium
energy pulses with repetition rates of several kHz up to the MHz range. Due to the efficient
cooling of the laser material, the average power of these lasers has been increased to several 100
W during the past years.
Thin disk lasers are also well suited for generating pulses of increased energy of the Joule level.
In this application, the output pulse energy is determined by the limited size of the thin disk.
At MBI, we have focused on the development of high average power lasers with high pulse
energy at medium repetition rate between 100 and 200 Hz [1]. Our lasers are optimized for
applications as pump laser for OPCPA and for X-ray laser. High stability with respect to output
energy and beam pointing are essential for both applications.
Our CPA system in operation contains the following components:
 a home-build front-end, which is electronically synchronized to an external clock,
 a stretcher and a compressor unit,
 two beamlines, each with two amplifiers based on thin disk technology.
The output pulses used for applications are compressed to 2 ps duration. Their energy at 100 Hz
repetition rate reaches up to 400 mJ. Experimentally we have demonstrated an energy of 700 mJ
at 100 Hz repetition rate.
In our contribution we will discuss the challenges for the design of thin disk laser systems that
deliver pulses of up to 800 mJ energy at 100 Hz repetition rate. Pulses with these parameters are
suitable for pumping OPCPA systems, plasma based x-ray lasers as well as incoherent plasma xray sources [2].
References
[1] J. Tuemmler, R. Jung, H. Stiel, P.V. Nickles, W. Sandner, “High-repetition-rate chirpedpulse-amplification thin-disk laser system with joule-level pulse energy,” Opt. Lett. 34, 1378
(2009)
[2] I. Mantouvalou, R. Jung, J. Tuemmler, H. Legall, T. Bidu, H. Stiel, W. Malzer, B.
Kanngiesser, and W. Sandner, ”Note: Study of extreme ultraviolet and soft x-ray emission of
metal targets
produced by laser-plasma-interaction”, Rev. Sci. Instrum., 82, 066103 (2011)
ICXRL 2014 Conference Program and Book of Abstracts
Page 88
ICXRL 2014 Conference Program and Book of Abstracts
Page 89
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: On the direct and inverse problems in coherent imaging of tilted objects
Authors: I. A. Artyukov, R. M. Feshchenko, N. L. Popov, A. V. Vinogradov
Author Affiliation:
Lebedev Physical Institute, Leninsky Prospekt 53, 119991 Moscow, Russia
E-mail: [email protected].
Presenter: A. V. Vinogradov
Presentation Type: Oral
Abstract:
The extension of the Fresnel integral to tilted objects is studied in analytical and numerical
approach. Exact solutions of parabolic wave equation are used for this purpose. The wave fields
produced by a beam propagating at an arbitrary angle θ≠π/2 relative to the object surface are
investigated. The simulated diffraction patterns are demonstrated for 1º ≤ θ ≤ 10º. It is shown
that inverse problem for tilted object can be reduced to a Fredholm type integral equation. Both
2D and 3D geometries are considered. The results may be useful as a theoretical framework for
the development of coherent reflection imaging of tilted objects and applied in X-ray imaging of
submicron footprints of relativistic electron beams for measurement of their size and shape.
References
I. A. Artyukov, R. M. Feshchenko, N. L. Popov and A. V. Vinogradov, Journal of Optics, 2014
(accepted).
ICXRL 2014 Conference Program and Book of Abstracts
Page 90
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: X-ray laser probing of laser-plasma interactions
Authors: G J Tallents1, A Rossall1 V Aslanyan1, M Shahzad1, L A Wilson1,2, O Guilbaud3, S
Kazamias3, M Pittman3, K Cassou3 and D Ros3
Author Affiliation:
[1] York Plasma Institute, Department of Physics, University of York, York YO10 5DD,
U.K.
[2] Central Laser Facility, Rutherford Appleton Laboratory, Chilton, Didcot OX11 0QX,
U.K.
[3] LASERIX, Universite Paris-Sud, Campus de l'ENSTA, Chemin de la Huniere, F-91761
PALAISEAU Cedex, France
Presenter: Greg Tallents
Presentation Type: Oral
Abstract:
X-ray laser and extreme ultra-violet (EUV) laser radiation can penetrate solid density plasmas
without collective effects as the critical density for the lasers exceeds the electron number
density even for a well-ionized solid material. Materials which exhibit a rapid decrease of
opacity as they ionize can be used as signatures of (i) material properties [1], (ii) heat transport
into a target [2] and (iii) ablation of a target [3]. Transmission results for EUV laser radiation
through targets using iron buried in plastic targets will be presented to demonstrate different
energy transport mechanisms applicable in laser plasmas, namely hot electron transport,
radiation transport and thermal electron transport. These energy transport effects can combine
in non-linear ways which makes their modeling difficult, but emphasizes the need for
experimental techniques to characterize energy transport. Energy transport has relevance to
many laser-plasma studies including inertial fusion. We shall also explore other plasma probing
roles for X-ray and EUV lasers, such as interferometry and scattering.
References (as needed)
Format: Author, Publication, Volume, Issue (year)
[1] M H Edwards, D Whittaker, P Mistry, N Booth, G J Pert G J Tallents et al Phys. Rev. Lett.
97, 03500 (2006) ‘Opacity measurements of a hot iron plasma using an x-ray laser’.
[2] L A Wilson, G J Tallents, J Pasley, D S Whittaker, S J Rose, O. Guilbaud, K Cassou, S
Kazamias, S Daboussi, M Pittman, O Delmas, J Demailly, O Neveu and D Ros 2012 Phys. Rev.
E86, 026406 (2012) “Energy transport in short-pulse-laser-heated targets measured using
extreme
ultraviolet
laser
backlighting”.
[3] M H Edwards, D S Whittaker, G J Tallents, et al Phys. Rev. Lett. 99, 195002 (2007) ‘Laser
ablation rates measured using X-ray laser transmission’
ICXRL 2014 Conference Program and Book of Abstracts
Page 91
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Surface micro(nano)structuring using extreme ultraviolet and soft x-ray lasers
Authors: Libor Juha1, Karel Kolacek2
Author Affiliation:
[1] Institute of Physics ASCR, Na Slovance 2, 182 21 Prague 8, Czech Republic
[2] Institute of Plasma Physics ASCR, Za Slovankou 1782/3 , 182 21 Prague 8, Czech
Republic
Presenter: Libor Juha
Presentation Type: Oral
Abstract:
Short-wavelength, i.e., extreme ultraviolet (XUV) and soft X-ray (SXR), coherent radiation
emitted by new high-power sources, i.e., electron-beam- and plasma-based XUV/SXR lasers, can
be used for both creating and probing nanostructures. Utilization of XUV/SXR laser beams as
probes is frequent, see for example [1]. In contrast, there is yet a poor knowledge of shortwavelength laser-produced nanostructures.
A key advantage of the XUV/SXR lasers for fabrication of tailored nanostructures is
unique combination of exceptionally short wavelength, high degree of coherence, and high peak
power. Certain thresholds for materials processing require XUV/SXR sources to deliver enough
radiation power to the irradiated surface area. Although high-order harmonics and incoherent
sources developed for XUV/SXR lithography can also pattern material surfaces with nanometer
precision, they cannot directly produce three-dimensional nanostructures using a few shots in a
single processing step.
It has been demonstrated that intense XUV/SXR laser radiation can produce submicron
structures directly. Grating-like structures (i.e., LIPSS - Laser-Induced Periodic Surface
Structures) with a spatial period of  70 nm have already been spontaneously formed on
amorphous carbon - a-C [2] and poly(methyl methacrylate) - PMMA [3] surfaces irradiated with
98-nm and 86-nm FEL radiation, respectively. However, an abundance of these patterns on FELirradiated surfaces is very low. There is one promising exception. Surprisingly high abundance
of LIPSS with a spatial period of 65 nm was recently registered on Si/Mo multilayer irradiated at
the FLASH (Free-electron LASer in Hamburg) facility tuned to 13.7 nm. SCSS (SPring-8
Compact SASE Source in Japan) gives a spatial period of 300 nm on the multilayer illuminated
at 61 nm. So, there is an almost perfect wavelength scaling. Mechanism of the LIPSS formation
in multilaers under these irradiation conditions is not yet clarified. Recent findings [4] show that
the nanostructuring can be significantly enhanced also in a mixed field of XUV and IR radiation.
In addition to rare LIPSS, there is a more
controllable way to produce nanostructures by XUV/SXR
lasers. The surface can be non-uniformly irradiated
through a mask with a chosen structural motif. The
feasibility of single-shot ablation patterning of PMMA
illuminated by 21.2-nm soft X-ray laser beam modulated
by a transmission mask has already been demonstrated. A
nickel mesh with a spatial period of 100 m was  10
times demagnified and projected on a PMMA sample by
focusing optics [5]. Recent results obtained in our lab in
this research area can be seen in Fig. 1.
Fig. 1 AFM image (2m x 2m) of a grating-like
structure produced in PMMA by a single-shot of Ne-like
Zn soft X-ray laser passed through a transmission grating
mask.2014
A spatial
periodProgram
of the structure
190 nm.
ICXRL
Conference
and Bookisofabout
Abstracts
Page 92
References
[1] M. J. Bogan et al.: Single particle X-ray diffractive imaging, Nano Lett. 8, 310 (2008).
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet in Imaging,
Processing Materials and Photoionization Studies
Authors: H. Fiedorowicz, I.U. Ahad, A. Bartnik, T. Fok, R. Jarocki, B. Korczyc, J. Kostecki,
A. Szczurek, M. Szczurek, P. Wachulak, Ł. Węgrzyński
Author Affiliation:
Institute of Optoelectronics, Military University of Technology, Warsaw, Poland
Presenter: H. Fiedorowicz
Presentation Type: Oral
Abstract:
We present application of laser plasma sources of soft X-rays and extreme ultraviolet (EUV)
developed in our laboratory in various areas of plasma physics, nanotechnology and biomedical
engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses
from commercial Nd:YAG lasers generating pulses with time duration from 1ns to 10ns and
energies from 0.5J to 10J at 10Hz repetition rate. The targets are produced with the use of a
double valve system equipped with a special nozzle to form a double-stream gas puff target
which secures high conversion efficiency of laser energy into soft X-rays and EUV without
degradation of the nozzle. The sources are equipped with various optical systems, including the
grazing incidence axisymmetrical mirrors, the “lobster eye” type grazing incidence multifoil
mirror, and the ellipsoid mirror with Mo/Si multilayer coating to collect soft X-ray and EUV
radiation and form the radiation beam.
The source with the ellipsoid mirror with Mo/Si multilayer coating has been used for imaging
with nanometer resolution using a Fresnel zone plate as an objective. Quasi-monochromatic
EUV emission at 13.8nm is obtained by selection of a single spectral line from the argon plasma
emission using the Mo/Si ellipsoid mirror. EUV nanoimaging with the spatial resolution of about
50nm has been demonstrated with the use of a very compact desk-top device. The source with
the grazing incidence ellipsoid mirror as a condenser and the grazing incidence
hyperboloid/ellipsoid (Wolter I type) mirror as an objective was used for soft X-ray imaging in
the “water window” wavelength range with sub-micrometer resolution.
The EUV source with the grazing incidence ellipsoid mirror has been used for processing of
materials by direct photo-etching with EUV photons. Efficient micro- and nanoprocessing of
various polymers was demonstrated. Modification of polymer surface by nano- and
microstructuring with EUV radiation for biocompatibility control has been investigated. It was
demonstrated that biological cells seeded on PET polymer surfaces irradiated with EUV show
more pronounced adhesion and alignment as compared with polymer surfaces irradiated with UV
lasers. EUV radiation has been also used for efficient micromachining of PVDF, material which
is known to have an extremely high chemical stability and electrical resistivity.
The sources have been also used in experimental research on creation of EUV photoionized
plasmas by photoionization of gases with EUV. Various gases injected into the interaction region
were irradiated with the focused EUV beam. Spectra in the EUV/VUV region from photoionized
gases were measured using a grazing incidence, flat-field spectrometer. Results of the studies
will be presented and discussed.
ICXRL 2014 Conference Program and Book of Abstracts
Page 93
ICXRL 2014 Conference Program and Book of Abstracts
Page 94
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Neutral Cluster Mass Spectrometry with a 46.9 nm (26.43 eV) Laser
Authors: E. R. Bernstein
Author Affiliation:
[1] Department of Chemistry, Colorado State University
Presenter: E. R. Bernstein
Presentation Type: Oral
Abstract
Neutral clusters represent a form of matter that lies between atomic and molecular
systems and nano-materials. Their energy levels, interactions, chemistry, dynamics, and
structures reveal the evolution of atomic and molecular properties and behavior into those of the
more common macroscopic forms of matter. Moreover, neutral clusters serve as useful model
systems because both high level theory and sophisticated experiments can be successfully
employed to elucidate properties. Nonetheless, neutral cluster studies are complicated by two
factors. First, clusters are synthesized in the gas phase through laser ablation and supersonic
expansion into a vacuum, and are thus generated as an inhomogeneous sample. Second, in order
to study the properties and behavior of neutral clusters, one must know their composition and
size: the most reliable approach to determining this information is through mass spectrometry,
but mass analysis can only be accomplished for ions. Ionization of clusters must occur without
cluster fragmentation if the neutral cluster distribution is to be determined: only near threshold,
single-photon, or resonance enhanced multi-photon ionization can accomplish this. Many
species of clusters have no UV absorption (e.g., (CH3OH)m, (CH3COOH)m, (HCOOH)m, (H2O)m,
(N2)m, …) for resonance enhanced multi-photon approaches, and thus an EUV photon is the only
way to accomplish non-fragmenting, near threshold ionization of neutral clusters for mass
analysis. Moreover, EUV single-photon ionization of neutrals guarantees that all molecules and
atoms can be accessed for mass spectrometry. In fact, experiments show that single, EUV
photon ionization is almost always at threshold because the detached electron removes the
potential excess energy of the cluster ion as photoelectron kinetic energy. Thus, we can study
neutral cluster properties, behavior, chemistry, catalysis, and structures for both van der Waals
and metal compound covalent clusters from Hem, (H2)m, (N2)m, (H2O)m, … to MaMb’XcYdYe,
(X,Y=O, S, P, N, C, H). The high energy single photon ionization ensures that all neutral
reactants and products will be detected for chemistry and property determination. We will
discuss the use of time of flight mass spectrometry to study neutral cluster size distributions,
cluster chemistry following ionization, catalytic chemistry of metal compound covalent clusters,
and the discovery of new chemical species employing EUV single photon ionization/time of
flight mass spectrometry of neutral clusters.
ICXRL 2014 Conference Program and Book of Abstracts
Page 95
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Observation of Weakly Excited Ablation Dynamics with Femtosecond Laser by using TimeResolved Soft X-ray Imaging Technique
Authors: M. Nishikino1, N. Hasegawa1, T. Eyama2, N. Kakimoto2, T. Tomita2, D. Hatomi3, N.
Ohnishi3, A. M. Ito4, Y. Minami5, M. Baba5, T. Kawachi1, M. Yamagiwa1, and T. Suemoto5
Author Affiliation:
[1] Quantum Beam Science Directorate, Japan Atomic Energy Agency, Japan
[2] Faculty of Engineering, The University of Tokushima, Japan
[3] Department of Aerospace Engineering, Tohoku University, Japan
[4] National Institute for Fusion Science, Japan
[5] The Institute for Solid State Physics, The University of Tokyo, Japan
Presenter: M. Nishikino
Presentation Type: Oral
Abstract:
The dynamical process of laser-induced surface modification such as the laser ablation comes to
attract much attention for the micro processing by the ultra-short laser pulse. Several studies on
the time-resolved imaging of the femto-second (fs) laser ablation process have been performed
on various materials to understand the relevant dynamics.[1] However, understanding of the
physical mechanism for the fs laser ablation from the beginning to the end of the ablation
remains unclear. In order to observe the surface morphological change during the fs laser
ablation process with a weakly excitation, we have developed soft x-ray interferometer and
reflectometer system. Weakly excited ablation processes of the gold (Au) films are investigated
by using single shot pump and probe imaging technique, using a Ti:Sapphire laser pulse with the
duration of 80 fs pulse at a central wavelength of 795 nm for the pumping of ablation and the
laser-driven soft x-ray laser at the wavelength of 13.9 nm for the probe.[2] From the timeresolved x-ray reflective images of the ablated Au surface, the multiple concentric interference
fringes are obtained inside the ablation crater at the time within 1 ns after the laser irradiation. It
suggests the expansion surface is a thin layer, which works as a beam splitter for the soft x-rays
over the sample surface. The expansion speed of expansion layer is measured to be 200 m/s at
the fluence of 1.3 J/cm2. In contrast to the expansion layer, small dilation of the ablated surface is
revealed from the time-resolved interferometry. We discuss the scenario of the weakly excited
femtosecond laser ablation of the gold surface. The numerical simulation study of the fs laser
ablation process is underway by using a parallel molecular dynamics (MD) code.[3]
References (as needed) Format: Author, Publication, Volume, Issue (year)
[1] e.g. D. von der Linde, K. Sokolowski-Tinten, and J. Bialkowski, Appl. Surf. Sci. 109-110, 110(1997).
[2] M.Nishikino et.al, Proc. of SPIE, 8849. 88490E-1(2013).
[3] D. Hatomi et.al., Proc. of SPIE, 8849. 88490E-1(2013).
ICXRL 2014 Conference Program and Book of Abstracts
Page 96
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Rapid calculation of scattering factors for partially ionized plasmas in the EUV
Authors: A. K. Rossall1, G. J. Tallents1
Author Affiliation:
[1] York Plasma Institute, Dept. of Physics, University of York, UK
Presenter: A. K. Rossall
Presentation Type: Oral
Abstract:
Probing of plasmas with extreme ultra-violet (EUV) and x-ray lasers can be undertaken
interferometrically, by Thomson scatter and by other methods. Knowledge of the ionized plasma
refractive index and scattering factors is important for interpretation of results.
A rapid
algorithm for the calculation of atomic scattering factors and refractive indices in the EUV for
partially ionized plasmas is presented. Using input data for the position and cross-section of
bound-free absorption edges, the code REFRAC, calculates plasma refractive index, atomic
scattering factors and scattering cross sections where bound-free and free-free electron processes
dominate. Runtimes are short enough to be used in-line with fluid codes for the simulation of
EUV laser propagation in plasma. The algorithm demonstrates good agreement with data from
the Centre for X-ray Optics (CXRO) at Lawrence Berkeley National Laboratory for solid
aluminum and carbon. Results are presented to illustrate use of the code in simulating high
irradiance (> 1010 W cm-2) EUV laser absorption within solid material and the subsequent
interaction with the produced high energy density plasma.
ICXRL 2014 Conference Program and Book of Abstracts
Page 97
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Transmission measurement for highly transparent metallic sodium in the Extreme
ultraviolet spectral range: new application of an intense EUV sources
Authors: Hiroyuki Daido1, Yoji Suzuki1, Tetsuya Kawachi2, Alexander S. Pirozhkov2
Author Affiliation:
[1] Applied Laser Technology Institute, Tsuruga Head Office, Japan Atomic Energy Agency
[2] Quantum Beam Science Directorate, Japan Atomic Energy Agency
Presenter: Hiroyuki Daido
Presentation Type: Oral
Abstract:
Metallic sodium is used as the media of large scale battery, for wind generators, as well as
coolant for fast breeder type reactors. We found that such metallic sodium with thickness of
several mm range is highly transparent in the extreme ultra-violet (EUV) spectral range which
was originally proposed for micro-meter thickness sodium in 1930s 1) and in 1960s 2). We made a
thick sodium sample using a glove box3) which is quite different technique compared with the
previous works. Using a CW source, we have made direct measurement of actual transmittance
of a sodium sample with a thickness of 1~8 mm in the spectral range >115 nm which
corresponds to the shortest transmission wavelength of magnesium fluoride windows, resulting
in, for example, ~50% transmittance of a 3 mm thick solid sodium sample including MgF2
windows and the reflection losses at the wavelength of ~120 nm, with a very weak temperature
dependence up to 150 °C which is beyond the melting point of 97 °C 3). The transmission
spectrum is potentially between ~220 and ~40 nm. Based on the transmission measurements, we
have set up a simple transmission imaging configuration with a 8-mm thick sodium sample,
dimensional Charge Coupled Device detector. The results show that a short pulse intense source
such as high order harmonics4) as well as short pulse EUV plasma source opens a new technique
for investigating dynamics of melting and molten metal. We also find that although sodium is
almost an ideal simple atom, Drude model cannot account for the present high transmittance if
we assume the electron scattering time of an order of a few to tens of fs. We propose systematic
transmission measurement using intense short pulse EUV sources. Such an experiment opens up
new information in the field of light matter interaction.
References 1) Woods Phys. RevA1 44, 353 (1933), 2) Sutherland et al. J. Opt. Soc. Am. 59, 1581 (1969),
3) Daido et al. , Opt. Express 21, 28182 (2013), 4) Pirozhkov et al. Phys. Rev. Lett. 108, 135004
(2012).
ICXRL 2014 Conference Program and Book of Abstracts
Page 98
ICXRL 2014 Conference Program and Book of Abstracts
Page 99
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: XUV capillary-discharge laser-induced damage to biomolecular systems: from DNA
plasmids to biomembranes and cell surfaces
Authors: Ludek Vysin1,2, Libor Juha1, Marie Davidkova3, Jorge J. Rocca4
Author Affiliation:
[1] Institute of Physics AS CR, Prague, Czech Republic
[2] Faculty of Nuclear Sciences and Physical Engineering, CTU in Prague, Czech Republic
[3] Nuclear Physics Institute AS CR, Prague, Czech Republic
[4] Colorado State University, Fort Collins, CO, USA
Presenter: Ludek Vysin
Presentation Type: Oral
Abstract:
DNA molecules and plasma membranes belong to the most radiation sensitive objects in the cell.
Ionizing radiation can induce different types of damages to DNA, including single- (SSBs) and
double-strand (DSBs) breaks, radiation-chemical irreversible changes in nucleobases and/or
sugar-phosphate backbone. The table-top capillary-discharge XUV laser (CDL) delivering
nanosecond pulses of 46.9-nm radiation can be used as a handy tool in the research area of
radiation biophysics. Radiation doses up to 20 kGy were delivered to dried closed-circular
plasmid DNA (pBR322) which represents a widely used model for studying the DNA damage.
The agarose gel electrophoresis was utilized for determination of both SSB and DSB yields. The
action cross-sections were determined for the single- and double-strand breaks of the plasmid
DNA in the solid sample XUV-irradiated in the vacuum. An increase was found in the efficiency
of induction of strand breaks in supercoiled DNA as a function of laser fluence. Phospholipid
bilayers in a form of small unilamellar vesicles (SUVs) are studied as a model of XUV-action on
biomembranes and cell surfaces. A layout based on a vacuum-proof cuvette makes possible an
irradiation of water-containing samples, incl. living cells and phospholipid vesicles, by XUVlaser pulses.
ICXRL 2014 Conference Program and Book of Abstracts
Page 100
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: First transient X-ray lasing at PALS facility
Authors: M. Albrecht1,2, J. Nejdl1, and M. Kozlova1
Author Affiliation:
[1] Institute of Physics of AS CR, ELI Beamlines project, Prague, Czech Republic
[2] FNSPE of the CTU in Prague, Czech Republic
Presenter: J. Nejdl
Presentation Type: Poster
Abstract:
We present comprehensive analytical calculation of linear focus created by a spherical mirror.
The goal of this analysis is to evaluate the dependencies of length of the line, velocity of the
travelling wave, and intensity distribution along the line on the geometrical parameters of the
setup and beam energy distribution. Based on this analysis we designed and performed an
experiment and demonstrated lasing of Ni-like Mo using shaped pulses of Ti:sapphire laser chain
with pulse energy up to 800mJ with grazing incidence geometry. Full characterization of the
laser radiation was performed.
ICXRL 2014 Conference Program and Book of Abstracts
Page 101
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: On the optical transforms for wave propagation modeling in the Fourier space
Authors: I.A. Artyukov1, A.S. Busarov1, N.L. Popov1, A.V. Vinogradov1
Author Affiliation:
[1] P.N.Lebedev Physical Lebedev Institute, Moscow, Russia
Presenter: I.A. Artyukov
Presentation Type: Poster
Abstract:
The Fresnel propagation theory is a well recognized technique for coherent imaging-related
computations including the phase retrieval and other manipulations for image reconstruction.
However, a coherent lensless imaging of tilted objects requires application of special approaches.
Thus, in the frames of the paraxial approximation the Fresnel integral (FI) cannot be used for a
calculation of the wave propagation from tilted objects. It should be replaced by so-called tilted
object integral (TOI). The main difference between FI and TOI is in the space domain definition
which becomes semi-infinite for TOI as the result of object tilting and evolution character of
parabolic wave equation [1]. The TOI turns into the FI as the tilt angles θ  π/2. The validity of
2 cos 
the FI depends on the parameter  
, where λ is the wavelength, δ is the object feature
 sin 2 
size. The Fresnel diffraction corresponds to the condition Φ << 1 . For large parameters Φ >> 1 the
TOI should be used instead of the FI in calculations of wave field space distribution [2,3]. This
 p2 
z  to the case of a tilted
work presents a generalization of the Fresnel propagator exp  i
 2k 
object to accelerate the optical field computations by means of the transfer to Fourier space. Both
3D - formulas and results of the numerical simulations will be presented.
References
1. Artyukov, I. A., Popov, A. V., Vinogradov, A. V., “Wave field transformation at coherent
imaging of a flat reflection mask” , Proc. SPIE 745114 (2009).
2. Artyukov, I. A., Mitrofanov, A. N., Popov, A. V., Vinogradov, A. V., “Theory and
computation towards coherent reflection imaging of tilted objects ', X-ray Lasers 2010:
Proceedings of the 12th International Conference on X-ray Lasers, Springer-Verlag, Berlin
& Heidelberg, 329-340 (2010).
3. Artyukov, I., Feshchenko, R. , Popov, N., Vinogradov, A., “On the direct and inverse
problems in coherent imaging of tilted objects", J. Opt. 16 035703 (2014)
ICXRL 2014 Conference Program and Book of Abstracts
Page 102
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Opacity bleaching by EUV radiation incident on solid density targets
Authors: V. Aslanyan1, G. J. Tallents1
Author Affiliation:
[1] York Plasma Institute, University of York, UK
Presenter: V. Aslanyan
Presentation Type: Poster
Abstract:
The wavelength range of Extreme Ultraviolet (EUV) and x-ray lasers corresponds to strong
absorption of photons by direct photoionization. Irradiation by high intensities of photons in this
region leads to a rapid drop in the opacity due to a rise in the average degree of ionization of the
plasma. A one-dimensional radiation transport model, which considers non-equilibrium
conditions, has been used to model this "bleaching" of opacity by a short wavelength laser pulse
as it propagates through a target. The effect of rapidly changing plasma conditions on inverse
bremsstrahlung has also been explored. Ionization Potential Depression models and their validity
have also been explored.
References (as needed) Format: Author, Publication, Volume, Issue (year)
D. Salzmann, International Series of Monographs on Physics, 97, 1998
S. N. Nahar, A. K. Pradhan, ApJS, 111, 1997
ICXRL 2014 Conference Program and Book of Abstracts
Page 103
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Ionization of Xenon to the Nickel-like stage and beyond in micro-capillary plasma
columns heated by ultrafast current pulses
Authors: G. Avaria 1,2,6, M. Grisham 1,2, J. Li 1,2, F.G. Tomasel 2,3 , V. N. Shlyaptsev 1,2, M.
Busquet 4, M. Woolston 1,2 , J.J. Rocca 1,2,5
Author Affiliation:
[1] National Science Foundation ERC for Extreme Ultraviolet Science and Technology
[2] Department of Electrical and Computing Engineering, Colorado State University, Fort
Collins, CO 80523
[3] Advanced Energy Industries, Fort Collis, CO 80525
[4] ARTEP Inc., Ellicott City, MD 21042
[5] Department of Physics, Colorado State University, Fort Collins, CO 80523
[6] Comisión Chilena de Energía Nuclear, Casilla 188-D, Santiago, Chile
Presenter: Gonzalo Avaria
Presentation Type: Poster
Abstract:
Homogeneous plasma columns with ionization levels typical of MA discharges are created by
rapidly heating gas-filled 520-µm diameter channels with ns rise-time current pulses of
unusually low amplitude, 40 kA. These conditions allow the generation of high aspect ratio (eg.
300:1) plasma columns ionized to very high degrees of ionization (e.g. Ni-like Xenon) of interest
for soft x-ray lasers at λ= 10 nm. Spectra and simulations for plasmas generated in 500 um
alumina capillary discharges driven by 35-40 kA current pulses with 4 ns rise time were obtained
in Xenon and Neon discharges. The first shows the presence of lines corresponding to ionization
stages up to Fe-like Xe. The latter show that Al impurities from the walls and Si (from injected
SiH4) are ionized to the H-like and He-like stages. He-like spectra containing the resonance line
significantly broaden by opacity, the intercombination line, and Li-like satellites are analyzed.
For Xenon discharges, the spectral lines from the Ni-like transitions the 3d94d (3/2, 3/2)J=0 to the
3d94p(5/2, 3/2)J=1 and to 3d94p(3/2, 1/2)J=1 are observed.
This work was supported by NSF Award PHY-1004295 using equipment developed with the
support of the NSF Engineering Research Center Program. G. Avaria acknowledges the support
from CONICYT PAI Inserción 791100020, FONDECYT Iniciación 11121587 and CONICYT
PIA Anillo ACT1115.
ICXRL 2014 Conference Program and Book of Abstracts
Page 104
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Single order diffraction grating for EUV and soft x-ray
Authors: Leifeng Cao1, Lai Wei1, Feng Qian1, Zuhua Yang1, Xiaoli Zhu2 and Changqing Xie2
Author Affiliation:
[1] [Research Center of Laser Fusion, China Academy of Engneering Physics]
[2] [Instistue of Microelectronics of Chinese Academy of Sciences]
[3] [add affiliations as needed and insert a superscripted affiliation number by each author’s
name-see example on next page]
Presenter: Leifeng Cao
Presentation Type: Poster
Abstract:
The authors presented that single order diffraction grating for EUV and soft x-ray radiation can
be realized with properly arranging large amount nano scale Au dots on a thin polymide foile.
One can such novel dispersive element in EUV and soft x-ray spectroscopy and
monochromatization applications with the advantage free of higher order diffraction disturbance
which cannot be avoided for traditional diffraction grating.
ICXRL 2014 Conference Program and Book of Abstracts
Page 105
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: External laser assisted grazing incidence pumping for efficient soft x-ray laser generation
Authors: Olivier Delmas,1,2,3 Moana Pittman,1 Kevin Cassou,1,4 Olivier Guilbaud,1,2 Sophie
Kazamias,1,2 Olivier Neveu,2 Julien Demailly1,2 and David Ros1,2
Author Affiliation:
[1] LASERIX, Centre Laser de l’Université Paris Sud, LUMAT, FR 2764 91405 Orsay
Cedex, France,
[2] Laboratoire Physique des Gaz et des Plasmas, UMR 8578 CNRS, Orsay, France
[3] Amplitude Technologies, Evry, France
[4] Laboratoire de l’accélérateur linéaire, UMR 8607 CNRS, Orsay, France
Presenter: Olivier DELMAS
Presentation Type: Poster
Abstract:
We have investigated a new scheme for laser plasma produced transient collisional soft x-ray
laser (SXRL) based on the use of an external laser to produce the pre-plasma.
A multi-nanosecond laser pulse, electronically synchronized with the CPA laser, is used to
produce a cold expanded plasma before the main interaction. This long prepulse (140mJ, 6ns
duration) originating from a frequency-double Nd:YAG Q-switch laser is line-focused on the
target at normal incidence. A significant enhancement of the X-UV laser emission between 10
and 40 nm compared to double-pulse single-beam grazing incidence (DGRIP) [1] using the same
pump laser is reported.
This configuration would be attractive if an experiment requires a high contrast pump irradiating
a sample that is later probed by the SXRL like in [2]. Measurements made for different solid
target corresponding to different X-UV wavelengths are presented and discussed.
References
1. D. Zimmer et al., Opt.Let., Journal 35, 4 (2010)
2. L. A. Wilson et al., Phys. Rev. E 86, 2 (2012)
ICXRL 2014 Conference Program and Book of Abstracts
Page 106
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: On stability of exact transparent boundary condition for the parabolic equation in
rectangular computational domain
Authors: R.M. Feshchenko1
Author Affiliation:
[1] P.N. Lebedev Physical Institute of RAS, Moscow, Russia.
Presenter: R.M. Feshchenko
Presentation Type: Poster
Abstract: Recently a new exact transparent boundary condition (TBC) for the 3D parabolic
wave equation in rectangular computational domain was derived [1]. However in the obtained
form it does not appear to be unconditionally stable when used with, for instance, the CrankNicolson finite-difference scheme. In this presentation a new formulation of the TBC for the 3D
parabolic equation in rectangular computational domain is reported, which is likely to be
unconditionally stable. It is based on the known unconditionally stable fully discrete TBC for the
Crank-Nicolson scheme for the 2D parabolic wave equation. This new fully discrete form of the
TBC can be used for numerical solution of the 3D parabolic wave equation with Crank-Nicolson
finite-difference scheme when a higher precision is required. It can also be generalized for
parabolic type differential equations of higher dimensions, for instance, for the 3D Schrodinger
equation [2]. Unconditionally stable fully discrete TBCs based on schemes other than CrankNicolson scheme are also considered.
References
[1] R. M. Feshchenko and A. V. Popov, Exact transparent boundary condition for the parabolic equation
in a rectangular computational domain, JOSA A 28(3), p. 373-380, 2011
[2] R.V. Feshchenko, A.V. Popov, “Exact transparent boundary condition for the 3D Schrodinger
equation in a rectangular cuboid computational domain”, Physical Review E 88, 053308 (2013).
ICXRL 2014 Conference Program and Book of Abstracts
Page 107
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Ablation plume induced by laser EUV radiation
Authors: O. Frolov1, K. Kolacek1, J. Schmidt1, J. Straus1
Author Affiliation:
[1] Institute of Plasma Physics, v.v.i., Academy of Sciences of the Czech Republic
Presenter: O. Frolov
Presentation Type: Poster
Abstract:
Interaction of laser light with material is well known from the earliest work with pulsed ruby
lasers. Laser radiation could lead to permanent changes in the local chemistry, the local crystal
structure, and the local morphology. The removal of material from solid surfaces by the
technique of laser ablation has become increasingly important in a variety of applications such as
advanced micromachining, surgery, mass spectrometry of bio-molecules, art cleaning/restoration
and fundamental physics studies.
Successful experiments with ablation and surface modification of organic and inorganic
materials (gold-covered-PMMA, bare PMMA and GaAs) with focused 46.9 nm EUV laser beam
of nanosecond duration were realized early [1-2]. In this paper, we report result of study laser
plasma plumes created by nanosecond EUV radiation pulses with energy about several
millijoules in different materials (Au, Al, Si, Cu).
References
[1] K. Kolacek, J. Straus, J. Schmidt, O. Frolov, V. Prukner, A. Shukurov, V. Holy, J. Sobota,
and T. Fort, Laser Part. Beams 30, 57 (2012)
[2] O. Frolov, K. Kolacek, J. Straus, J. Schmidt, V. Prukner, A. Choukourov, in Proc. SPIE
8777, Damage to VUV, EUV, and X-ray Optics IV; and EUV and X-ray Optics: Synergy
between Laboratory and Space III, 877707 (2013)
ICXRL 2014 Conference Program and Book of Abstracts
Page 108
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: High-order harmonic generation in a multi-jet array with individual pressure control.
Authors: N. S. Gaffney1, M. G. Pullen1, C. R. Hall1, J. A. Davis1, A. Dubrouil1, H. V. Le1, R.
Buividas2,3, D. Day3, H. M. Quiney4, and L. V. Dao1
Author Affiliation:
[1] [ARC Centre of Excellence for Coherent X-ray Science and Centre for Quantum and
Optical Science, Swinburne
University of Technology, Melbourne, Australia]
[2] [Centre for Micro Photonics, Swinburne University of Technology, Melbourne,
Australia]
[3] [The Australian National Fabrication Facility, Swinburne University of Technology,
Melbourne, Australia]
[4] [ARC Centre of Excellence for Coherent X-ray Science, University of Melbourne,
Melbourne, Australia]
Presenter: N. S. Gaffney
Presentation Type: Poster
Abstract:
The interaction of intense laser pulses with matter can produce ultraviolet and soft x-ray
radiation via high-order harmonic generation (HHG). The radiation produced by HHG is used in
attosecond science [1], coherent diffractive imaging [2] and the seeding of free electron lasers
[3].
One of the principal limitations of HHG is the phase mismatch between the laser and
harmonic wavelengths that occurs over the length of the interaction region, causing destructive
interference and limiting harmonic yield. Quasi-phase matching (QPM) allows for HHG to occur
over a larger distance by periodically compensating for the phase mismatch. Recently there has
been interest in using QPM in gas jet arrays to improve harmonic flux [4]. Willer et al.
demonstrated enhanced harmonic yield via QPM in a dual gas scheme involving alternating Ar and
H2 jets [4].
We have implemented a similar array with the unique ability to individually
control the pressure in each jet [5]. This individual control allows us to investigate previously
uncharacterised mechanisms that may affect enhancement in gas jet arrays. We found that in our
array interaction between Ar and H2 jets leads to two dimensional compression of the Ar jet and
can be another source of enhancement. We will present these results and our progress towards
QPM in our array.
References:
[1] Krausz, F, and M. Ivanov. "Attosecond physics." Reviews of Modern Physics 81, no. 1
(2009).
[2] Seaberg, M. D., et al. "Ultrahigh 22 nm resolution coherent diffractive imaging using a
desktop 13 nm high harmonic source." Optics express 19, no. 23 (2011).
[3] Lambert, G., et al. "Injection of harmonics generated in gas in a free-electron laser providing
intense and coherent extreme-ultraviolet light." Nature physics 4, no. 4 (2008)
[4] Willner, A., et al. "Coherent control of high harmonic generation via dual-gas multijet
arrays." Physical review letters 107, no. 17 (2011)
[5] Pullen, M. G., et al. "High-order harmonic generation from a dual-gas, multi-jet array with
individual gas jet control." Optics letters 38, no. 20 (2013)
ICXRL 2014 Conference Program and Book of Abstracts
Page 109
ICXRL 2014 Conference Program and Book of Abstracts
Page 110
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: High efficiency diffractive EUV optics by nickel electroplating
Authors: S. Hackmann1, S. Danylyuk1, S. Brose1, L. Juschkin2
Author Affiliation:
[1] RWTH Aachen University and JARA—Fundamentals of Future Information Technology, Chair
for the Technology of Optical Systems, Steinbachstrasse 15, 52074 Aachen, Germany
[2] RWTH Aachen University and JARA— Fundamentals of Future Information Technology, Chair
for the Experimental Physics of EUV, Steinbachstrasse 15, 52074 Aachen, Germany
Presenter: Sascha Hackmann
Presentation Type: Poster
Abstract:
Due to a strong absorption of extreme ultraviolet (EUV) radiation in matter, refractive optical
elements have to be replaced with either reflective or diffractive optics in EUV systems. In many
applications the quality of such elements determines the performance limit of the whole system.
For example in interference lithography, highly efficient high resolution transmission masks are
required with high quality absorber patterns structured on free standing membranes. In EUV
microscopy the performance is defined by the employed Fresnel zone plates, with the outermost
zone width limiting the resolution, and uniformity and roughness of the structures determining
the efficiency of the system.
Traditionally the technology of production of these optical elements involves ion etching
processes which limits the achievable resolution, aspect ratio and quality of the structures. In this
contribution, the nanoscale nickel electroplating1, which is able to form structures with high
aspect ratios, was developed in order to produce transmission gratings for interference
lithography as well as Fresnel zone plates. The technology promises a smaller surface and line
edge roughness and a higher resolution of the metallic structures in comparison with ion etched
components2. Nickel is used for this purpose as it has a high absorption coefficient for
wavelengths around 13.5 nm. During the last years, the nanoscale nickel electroplating has
already been used to produce high aspect ratio zone plates. Here it is applied for the first time for
the production of EUV transmission gratings with sub-100nm resolution.
Nickel was electroplated on a 100 nm layer of molybdenum on a silicon wafer. By this choice of
materials the diffraction efficiency can be high even with relatively thin nickel layers. In order to
improve the uniformity of the nickel layer, pulse and pulse reverse plating were employed as
electroplating methods. The optimal parameters for pulse and pulse reverse plating for large area
nickel deposition were determined. The substrate was then structured by a photo-resist prior to
the plating. In the final step free standing transmission gratings were produced. White line
interferometry (WLI) and AFM measurements were performed to determine the thickness profile
and the surface roughness of the samples.
References
[1] Hansal, W.E.G.; Roy, S, Eugen G. Leuze Publishers, Vol. 1, Pulse Plating (2012)
[2] Chandrasekar, M.S.; Pushpavanam, Electrochimica Acta 53; pp. 3313-3322, Pulse and
pulse reverse plating – Conceptual, advantages and applications (2008).
ICXRL 2014 Conference Program and Book of Abstracts
Page 111
ICXRL 2014 Conference Program and Book of Abstracts
Page 112
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: A new approach to theory for the Rhodes group experiments with channeled pulse
excitation in Xe and Kr clusters
Authors: P. L. Hagelstein and I. U. Chaudhary
Author Affiliation:
[1] MIT, Cambridge, MA
[2] University of Engineering and Technology, Lahore
Presenter: P. L. Hagelstein
Presentation Type: Poster
Abstract:
The Rhodes group has claimed to have demonstrated an x-ray laser below 3 Angstroms in
highly stripped Xe, and gain near 7 Angstroms in Ne-like Kr, in experiments where channeled
KrF radiation interacts with Xe and Kr clusters. It has been proposed that enhanced collisional
ionization is responsible for the development of an inversion; after exploring various versions of
this approach, we concluded that this approach was unlikely to work. It was also proposed that
inversions could be produced through a photoionization mechanism; after exploring versions of
this approach, we concluded that this approach was also unlikely to work.
We recognized that multi-photon excitation through inverse fractionation might be responsible
for the experimental observations. Inverse fractionation models had been developed in
previous years for other applications, such as up-conversion of vibrational energy to produce
nuclear excitation. We developed a version of the model relevant to atom-photon interactions
involving an intense channeled pulse. This model was applied to recent results on Ne-like Kr,
leading to predictions which appear to be consistent with experiment. A preliminary
computation was also carried out for Co-like Xe, with results that look very encouraging.
ICXRL 2014 Conference Program and Book of Abstracts
Page 113
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Betatron x-ray source generated from laser wakefield acceleration in low density Nitrogen
gas
Authors: K.Huang1, D. Li2, W. Yan1, M. Li1, M. Tao1, X. Guo1, S. Li3, F.Liu3, X. Ge3, L.
Chen1*, J.Zhang3
Author Affiliation:
[1] [Beijing National Laboratory of Condensed Matter Physics, Institute of Physics, CAS,
Beijing 100190, China]
[2] [Institute of High Energy Physics, CAS, Beijing 100049, China]
[3] [Department of Physics, Shanghai Jiao Tong University, Shanghai 200240, China]
Presenter: Kai Huang
Presentation Type: Poster
Abstract:
Laser plasma betatron x-ray source has been extensively studied these years due to its
compactness, small source size, short duration and broad spectrum covering up to γ ray range.[1-3]
Most of the betatron x-ray sources are generated in a plasma density above 1018cm-3. In our
recent experiment, Betatron x ray and quasimonoenergetic electron beams were generated in
pure Nitrogen gas from laser wakefield acceleration in a plasma density of 5.4×1017W/cm2. The
experiment was performed using the 200TW commercial laser system at Shanghai Jiao Tong
University. The 800nm laser with power up to 60TW was focused by a f/20 off-axis parabolic
mirror onto the front edge of a 4mm long gas jet. The generated monoenergetic electron beams
have peak energy of 130~190MeV and divergence of 2.7~4 mrad . The corresponding Betatron
x-ray source has a divergence <6mrad, and critical energy of 4keV. Ionization injection
mechanism could be the reason for generation of betatron x-ray and quasimonoenergetic electron
beams in such low density gas.
References
[1] A. Rousse, K. Phuoc, R. Shah, A. Pukhov, E. Lefebvre, V. Malka, S. Kiselev, F.Burgy, J.
Rousseau, D. Umstadter, and D. Hulin, Phys. Rev. Lett. 93, 135005 (2004)
[2] S. Kneip, C. McGuey, J. L. Martins, S. F. Martins, C. Bellei, V. Chvykov, F. Dollar, R.
Fonseca, C.Huntington, G. Kalintchenko, A. Maksimchuk, S. P. D. Mangles, T. Matsuoka, S. R.
Nagel, C. A. J. Palmer,J. Schreiber, K. Ta Phuoc, A. G. R. Thomas, V. Yanovsky, L. O. Silva, K.
Krushelnick and Z. Najmudin, Nat. Phys. 6, 980{983 (2010)
[3] S. Cipiccia, M. Islam, B Ersfeld, R. Shanks, E Brunetti, G Vieux, X Yang, R. Issac,
S.Wiggins, G.Welsh, M. Anania, D Maneuski, R Montgomery, G Smith, M. Hoek, D. Hamilton,
N. C. Lemos, D. Symes, P. Rajeev, V. Shea, J. Dias and Dino A. Jaroszynski, Nat. Phys. 7, 867–
871 (2011)
ICXRL 2014 Conference Program and Book of Abstracts
Page 114
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Study of Optical Emission during Spallative Ablation Induced by Soft X-Ray Laser
Pulses
Authors: M. Ishino1, M. Nishikino1, N. Hasegawa1, T. Pikuz1,2 I. Skobelev2, A. Faenov1,2, M.
Yamagiwa1, T. Kawachi1,
Author Affiliation:
[1] Quantum Beam Science Directorate, Japan Atomic Energy Agency, Japan
[2] Joint Institute for High Temperatures, Russian Academy of Science, Russia
Presenter: M. Nishikino
Presentation Type: Poster
Abstract:
Recent experimental and theoretical investigations of soft x-ray laser (SXRL) pulse interactions
with materials show that the nanometer scale surface ablation and/or modification on materials
(LiF, Al, Au, Cu, and Si) occurs at very small fluences in the range of ten – few tens of mJ/cm2
[1–3]. These fluences are essentially lower than those of visible laser interactions with such
materials. The atomistic model for the interaction between SXRL pulse and material reveals that
the tensile stress created in materials by SXRL pulse can produce spallative ablation of target
surface. However, the mechanisms of the surface ablation/modification induced by SXRL pulses
with small fluences, especially around the threshold, are not understood completely. To study the
ablation process induced by SXRL and optical pulses, we irradiated the focused SXRL pulses
having a wavelength of 13.9 nm and a pulse width of 7 ps or the focused optical light from
SXRL plasma mediums to the LiF, Al, and Cu surfaces. The total energies of both cases were
almost the same, and fluences on surfaces were 10–30 mJ/cm2. The optical spectra (visible
range) of emission from the irradiated surfaces were measured by the CMOS detector with a
sensitivity of approximately 16.7 pJ/pixel. The ablation holes and/or modified structures caused
by the single and multiple SXRL pulses were confirmed on all irradiated surfaces. However, the
optical emissions from the surfaces during the pulse irradiations were not observed. In the same
geometry, the LiF surface was not modified by the optical irradiation, but scattered visible light
was observed. The obtained results indicates that the spallative ablations occurred by the
irradiations of SXRL pulses without plasma productions. The atomistic model calculation
predicts that the absorbed energy of SXRL pulse for a surface modification creates a low
electron temperature of only 0.1–1.0 eV in a material [2–4]. The experimental result investigated
by our study is consistent with the theoretical calculations.
This work was partly supported by Grant-in-Aid for Scientific Research (B), No. 25289244
(2013), from MEXT, Japan, and RFBR-JSPS collaboration program (RFBF Grant 14-02-92107).
References:
[1] M. Ishino et al., Appl. Phys. A, 101, 179 (2013).
[2] N. A. Inogamov et al., Contrib. Plasma Phys., 49, 455 (2009).
[3] G. Norman et al., J. Appl. Phys., 112, 013104 (2012).
[4] S. V. Starikov et al., Appl. Phys. B (online published).
ICXRL 2014 Conference Program and Book of Abstracts
Page 115
ICXRL 2014 Conference Program and Book of Abstracts
Page 116
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Improved sub-10 nm Ni-like lasing by varying the slope of the traveling-wave velocity
Authors: F. Jia, M. Siegrist, F. Staub, J. Balmer
Author Affiliation:
Institute of applied physics, University of Bern
Presenter: F. Jia
Presentation Type: Poster
Abstract:
Large output variation has been observed in recent samarium (Sm, Z=62) laser experiment with
respect to nominally identical pump condition. Several effects such as excessive B-integral value
and spurious prepulses have been already studied. In this work, we focus on the spatio-temporal
mismatch between the traveling-wave (TW) excitation and the X-ray pulse group velocity.
Through simulations incorporating the gain dynamics of the system we show that the group
velocity is not constant but monotonously increases along the line focus due to strong saturation.
We demonstrate a line-focusing configuration that results in TW excitation with the sweep
velocity well matched to the spatially varying group velocity. A novel line-focusing
configuration with adapted TW velocity has been implemented into the existing 10-TW Nd:glass
CPA laser system. Results on improved output at sub-10-nm wavelengths will be presented.
ICXRL 2014 Conference Program and Book of Abstracts
Page 117
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Coherent diffractive imaging employing a compact discharge plasma EUV light source
Authors: Larissa Juschkin1, Denis Rudolf2, Lars Lötgering1, Rui Xu3, Sascha Brose4, Serhiy
Danylyuk4, Jianwei Miao3
Author Affiliation:
[1] RWTH Aachen University and JARA— Fundamentals of Future Information
Technology, Chair for the Experimental Physics of EUV, Steinbachstr. 15, 52074
Aachen, Germany
[2] Peter Grünberg Institute 9 and JARA— Fundamentals of Future Information Technology,
Research Centre Jülich, 52425 Jülich, Germany
[3] Department of Physics and Astronomy, and California NanoSystems Institute, University
of California, Los Angeles, CA 90095, USA
[4] RWTH Aachen University and JARA—Fundamentals of Future Information Technology,
Chair for the Technology of Optical Systems, Steinbachstr. 15, 52074 Aachen, Germany
Presenter: Larissa Juschkin
Presentation Type: Poster
Abstract:
Diffraction limited microscopy in the EUV and soft X-ray spectral regions requires either low
roughness reflective optics or diffractive optics (Fresnel zone plates) structured at the nanometer
scale. The fabrication of such optical elements is difficult and expensive. Alternatively, a high
resolution imaging technique called Coherent Diffractive Imaging (CDI) offers a possibility to
replace the optics completely by image reconstruction algorithms [1, 2].
Experimentally, a sufficiently coherent beam illuminates the test object which generates a
diffraction pattern. The pattern is recorded by the detector, and the object properties are
reconstructed from the diffraction pattern by means of phase retrieval techniques [3]. It was
demonstrated that this technique is capable to achieve diffraction-limited lateral resolution [4].
So far, the most of CDI experiments were performed at synchrotron and free electron laser
facilities. Only a few small-scale laboratory experiments on CDI were performed employing
either a high harmonic [5] or a soft X-ray laser source. In our work, we explore the feasibility to
perform CDI with laboratory based high radiance plasma sources developed for EUV
lithography. In the present work the results of CDI experiments employing a compact discharge
plasma EUV light source are presented [6]. We address the spatial and temporal coherence
properties of our EUV source, which we tuned to satisfy the requirements of the CDI
experiment. The experimental results of CDI on test samples at 17.3 nm wavelength are
presented and compared to simulations of the diffraction pattern. A successful reconstruction of a
test object and of the illumination wavefront is presented as well.
References
[1]
J. R. Fienup, Opt. Lett. 3, 27-29 (1978)
[2]
C. C. Chen, J. Miao, C. W. Wang and T. K. Lee, Phys. Rev. B 76, 64113 (2007)
[3]
S. Marchesini, Rev. Sci. Instrum. 78, 011301 (2007)
[4]
H. N. Chapman and K. A. Nugent, Nat. Photon. 4, 833 (2010)
[5]
M.D. Seaberg et al., Opt. Express 19, 22470 (2011)
[6]
L. Juschkin et al., Proc. of SPIE 8849, 88490Y (2013)
ICXRL 2014 Conference Program and Book of Abstracts
Page 118
ICXRL 2014 Conference Program and Book of Abstracts
Page 119
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Temporal response of seeded XUV lasers under different amplification regimes
Authors: A. Le Marec1, O. Larroche2, L. Meng1 and A. Klisnick1
Author Affiliation:
[1] ISMO, CNRS, Université Paris-Sud, 91405 Orsay Cedex, France
[2] CEA DIF, Bruyères le Châtel, 91297 Arpajon Cedex, France
Presenter: A. Le Marec
Presentation Type: Poster
Abstract:
The minimum pulse duration of plasma-based X-UV lasers working in Amplified Stimulated
Emission (ASE) mode is currently limited to about 1 picosecond by the very narrow bandwidth
of the amplifier medium. The experimentally demonstrated technique of seeding those lasers
with a resonant femtosecond high-order harmonic (HH) [1,2] is a promising mean to enhance the
beam coherence properties and dramatically reduce its pulse duration.
A recent study of the 0D temporal behavior of the amplification [3] shows two asymptotic
amplification regimes in the seeded mode, adiabatic and dynamic, depending on the small-signal
gain of the plasma amplifier. Below a certain gain threshold, the amplification remains close to
adiabatic: the HH pulse generates a wake that is amplified, but the linewidth is however
narrowed and the output duration is limited by the amplifier bandwidth. Beyond that threshold,
i.e. under strong gain conditions, the amplification becomes non-linear: the growth rate is found
to be much lower than the adiabatic small-signal gain which would be expected from the same
physical parameters and, most importantly, the pulse duration is no longer limited by the
amplifier bandwidth. This is related to the occurrence of Rabi oscillations, possibly leading to
femtosecond pulses.
We discuss these results in the case of existing X-ray lasers relying on various pumping
techniques. Comparing measured gains with calculated thresholds allows us to determine
whether Rabi oscillation can be expected in those systems. We also performed numerical
simulations of seeded X-ray lasers with the COLAX code [4], based on the Maxwell-Bloch
equations, which extend the amplification behavior study to a 1D geometry, along the radiation
propagation direction. The temporal response of seeded XUV lasers will be presented in that
geometry as a function of small-signal gain conditions.
References:
[1] Ph. Zeitoun et al, Nature 431, 426 (2004).
[2] Y. Wang et al, Nat. Phot. 2, 94 (2008).
[3] O. Larroche et al, Opt. Lett. 38, 2505 (2013).
[4] O. Larroche et al, Phys. Rev. A 62, 043815 (2000).
ICXRL 2014 Conference Program and Book of Abstracts
Page 120
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Pump-probe experiment for temporal profile measurement of plasma x-ray laser
Authors: S. Namba1, N. Hasegawa2, M. Kishimoto2, M. Nishikino2 and T. Kawachi2
Author Affiliation:
[1] Graduate School of Engineering, Hiroshima University
[2] Quantum Beam Science Center, Japan Atomic Energy Agency
Presenter: Shinichi Namba
Presentation Type: Poster
Abstract:
Temporal behavior of a soft x-ray laser pulse is measured by means of a pump-probe method
(cross correlation). In this scheme, the innershell electron 4d of Xe atom is first photoionized
with the soft x-ray laser pulse having 13.9 nm, ~7ps and ~109 W/cm2 (x-ray pump). The x-ray
photon energy (89.2 eV) is sufficiently high to ionize the innershell electrons (~70 eV). In
addition, since the photon energy is within the giant resonance regime, the cross section is as
large as 22 Mb. The photo- and Auger electrons, therefore, are mainly generated. Simultaneously,
the IR laser pulse (1053 nm, ~4 ps) is irradiated at the focal region to dress the electrons (probe).
The probe pulse is delivered by a glass laser system that is the same as the driver laser generating
the x-ray laser. Thus, the optical system is free from timing jitter. By varying the time delay
between both pulses, the sideband spectra associated with IR photon absorption/emission
processes appear at a separation of photon energy (1.18 eV) from the main peak. By analyzing
the sideband intensity, therefore, we can examine the temporal behavior of the x-ray laser pulse.
In order to measure the electron energy by a single-shot base with high energy resolution, we
design and construct a magnetic bottle electron spectrometer, by which the electrons emitted can
efficiently be detected.
The sideband intensity associated with the innershell ionization of Xe 4d j=5/2 is measured for
various time delays. Considering that the instrumental width (Gaussian profile) is around 4 ps,
the pulse width of the x-ray laser is evaluated to be ~6.2 ps (FWHM), which is in excellent
agreement with the temporal behavior observed by an x-ray streak camera.
ICXRL 2014 Conference Program and Book of Abstracts
Page 121
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Single-shot measurement of the spatial coherence of X-ray laser beams
Authors: J. Nejdl1, M. Kozlova1, A. Le Marec2, A. Klisnick2
Author Affiliation:
[1] Institute of Physics of AS CR, ELI Beamlines project, Prague, Czech Republic
[2] ISMO, CNRS, Université Paris-Sud, Orsay, France
Presenter: J. Nejdl
Presentation Type: Poster
Abstract:
We present a technique to measure the spatial coherence of radiation as function of distance of
the slit separation in several points within one shot. The method is based on analysis of the
diffraction pattern of non-redundant array of slits (in the one dimensional case) or holes (in 2D
case). This method is particularly beneficial in the cases where the shot-to-shot fluctuations may
affect the cumulative measurement when using the classical Young’s double slit technique,
where every point of the coherence function is measured within different laser shot. Plasmabased X-ray lasers are typical sources that can be characterized by our method, because of their
narrow bandwidth (long longitudinal coherence) and their possible shot-to-shot fluctuations.
We performed a test experiment of this technique with Ne-like Zn XRL emitting at 21.2nm at the
PALS facility.
ICXRL 2014 Conference Program and Book of Abstracts
Page 122
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Soft x-ray digital holographic microscopy
Authors: J. Nejdl1,4, I. D. Howlett1,2, D. Carlton1,3, E.H. Anderson1,3, W. Chao1,3, M. C.
Marconi1,3, J. J. Rocca1,3,5, and C.S. Menoni1,2
Author Affiliation:
[1] National Science Foundation Engineering Research Center for Extreme Ultraviolet
Science and Technology
[2] Electrical and Computer Engineering, Colorado State University, Fort Collins, USA
[3] Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA, USA
[4] ELI Beamlines project, Institute of Physics of AS CR, Prague, Czech Republic
[5] Department of Physics, Colorado State University, Fort Collins, USA
Preseter: Jaroslav Nejdl
Presentation Type: Poster
Abstract:
The ability to obtain amplitude and phase with an imaging system opens up the possibility to
image samples which has low absorption contrast at the wavelength of the illumination. This is
particularly important at soft x-ray (SXR) wavelengths where, depending on the wavelength of
the illumination, contrast among different regions of the sample could be low. Holography is the
imaging method from which amplitude and phase can be quantitatively determined without the
need of phase retrieval methods. Previously we have demonstrated wavelength resolution SXR
holography [1] and SXR zone plate full field microscopy [2] using compact setups that use for
illumination the output from a 46.9 nm wavelength SXRL [3]. The combination of both of these
methods has recently allowed us to demonstrate image plane digital holographic microscopy
(IPDHM) [4]. IPDHM allows one to quantitatively evaluate both the phase and amplitude of an
image from an interferogram formed at the image plane by the interference of a reference wave
and an object wave. We will show the capabilities of SXR DHM using nanometer-scale periodic
shift of 2.3 rad accurately predicts the Si dense line step height of 100 nm. The scheme is
scalable to shorter wavelengths, and allows for simultaneous high spatial and temporal
resolution. We will also discuss how the coherence of the illumination and other factors affect
the quality of the hologram.
References
[1] T. Kreis, Handbook of Holographic Interferometry: Optical and Digital Methods, WileyVCH, 2005.
[2] P. W. Wachulak et al. “Soft x-ray laser holography with wavelength resolution,” JOSA B,
Vol. 25, pp. 1811-1814 (2008).
[3] C. A. Brewer et al. “Single-shot extreme ultraviolet laser imaging of nanostructures with
wavelength resolution,” Optics Letters, Vol. 33, pp. 518-520 (2008).
[4] B.R. Benware, et al. , Physical Review Letters, 81(26): p. 5804-5807.(1998).
ICXRL 2014 Conference Program and Book of Abstracts
Page 123
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Diagnosis of radiation heating in iron buried layer targets
Authors: M. Shahzad,1 O. Culfa,1 A.K.Rossall,1 G.J.Tallents,1 L.A.Wilson,2 S.J.Rose,3
O.Guilbaud,4 S.Kazamias,4 M.Pittman,4 K.Cassou,4 J.Demailly,4 O.Delmas,4 A.Mestrallain,4
M. Farjardo,5 and D.Ros4
Author Affiliation:
[1] York Plasma Institute, The University of York, York YO10 5DQ, UK
[2] Central Laser Facility, STFC Rutherford Appleton Laboratory, Oxfordshire, OX11 0QX,
UK
[3] Imperial College London, South Kensington Campus, London SW7 2AZ, UK
[4] LASERIX, Universite Paris-Sud, Campus de l’ENSTA, Chemin de la Huniere, F-91761
PALAISEAU Cedex, France
[5] Instituto Superior Tcnico, Portugal
Presenter: Mohammed Shahzad
Presentation Type: Poster
Abstract:
Extreme ultra-violet (EUV) laboratory lasers can be used to probe energy transport in laser
irradiated solid targets. We report on a recent experiment undertaken at LASERIX whereby the
heating of laser irradiated targets containing a thin layer of iron (50 nm) encased in plastic (CH)
was diagnosed using EUV laser (13.9 nm) back-lighter probing. The heating laser pulse duration
was 35 fs at focal irradiances of 3 × 1016 Wcm−2 with a deliberate prepulse 20 ps before the
main pulse at irradiances of 3 × 1015 Wcm−2. A one dimensional hydrodynamic fluid code
HYADES has been used to simulate the temporal variation in EUV transmission using IMP
opacity values for the iron layer and the simulated transmissions compared to measured
transmission values. When a deliberate prepulse is used to preform an expanding plastic plasma,
it is found that radiation heating is dominant in the heating of the iron layer giving rise to a rapid
decrease in EUV opacity and an increase in the transmission of the 13.9 nm laser radiation as the
iron ionizes to Fe5+ and above.
ICXRL 2014 Conference Program and Book of Abstracts
Page 124
Abstract: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Self-photopumped X-ray lasers for elements in the Ne-like and Ni-like ionization state
Authors: M.Siegrist1, F. Jia1, J.E. Balmer1
Author Affiliation:
[1] [Institute of Applied Physics, University of Bern, CH]
Presenter: M. Siegrist
Presentation Type: Poster
Abstract:
Self-photopumped X-ray lasers in laser-produced plasma have been previously proposed as an
alternative scheme to electron collisional excitation. While, in general, self-photopumped lasers
suffer from somewhat lower gain, their higher saturation intensity could in principle lead to
higher peak irradiance. We have performed experiments on the 3d 1P1 -> 3p 1P1 and 4f 1P1 -> 4d
1
P1 transitions for Ne-like and Ni-like ions, respectively. Lasing on the self-photopumped laser
line has been achieved for the first time for several elements including Ne-like V, Cr, Fe, and Co
as well as Ni-like Ru, Pd, and Ag. We have investigated the lasing process by varying the
prepulse delays, which shows a shift of the optimum main pulse to second prepulse delays
towards lower values with higher atomic number Z. Experiments have been performed with the
Bern Advanced Glass Laser (BeAGLE) using three pulses with total energy up to 15 J at a
wavelength of 1054 nm.
ICXRL 2014 Conference Program and Book of Abstracts
Page 125
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Experimental Attempt to Soft X-Ray Laser Thomson Scattering
Authors: J. R. Sun, C. Wang, H. H. An, Z. H. Fang, J. Xiong, W. Wang, S. J. Wang
Author Affiliation:
[1] Shanghai Institute of Laser Plasma, Shanghai, China
Presenter: J. R. Sun
Presentation Type: Poster
Abstract:
Thomson scattering(TS) technique is an important tool to diagnose laser-produced plasma and
has been applied widely1. There is a lot of information contained in TS spectrum and a series of
plasma status parameters such as electron temperature, electron density, ion temperature and ion
flow velocity can be obtained by analyzing the scattering data.
There is a few report on soft x-ray laser TS2,3. Recently, we have presented a preliminary TS
experiment using soft x-ray laser at 23.2/23.6nm wavelength on the SG-II High Power Laser
Facility. There are two experimental programs in this work according to the scattering parameter
estimation. One is incoherent program, the other is coherent program. In the incoherent program,
the spectrometer is located at 175° to the incident direction of the probe laser, and the probe
region is about 50μm from the tested target. In the coherent program, the detection direction is at
3° to the incident direction of the probe laser, and the probe region is about 500μm from the
tested target. Calculations and simulations are also conducted for the scattering features of
incoherent and coherent conditions in this work. The simulation results show that the
experimental parameter designs are close to incoherent and coherent mechanism respectively.
Because the scattering cross-section of free electron is very small, the incoherent scattering
signals are difficult to be measured. Compared to incoherent scattering, the coherent scattering
signals are easy to be measured because of lower threshold intensity of the probe laser.
The experimental results show that there is no obvious scattering signal of TS near 23.2/23.6nm.
More detailed analysis will be conducted and more deep studies of soft x-ray laser TS will be
carried out in the future.
References (as needed)
Format: Author, Publication, Volume, Issue (year)
[1] O. L. Landen and R. J. Winfied, Phys. Rev. Lett. 54, 1660(1985).
[2] D. Riley, R. Keenan, S. J. Topping, F. Y. Khattak, A. M. McEvoy, J. J. Angulo, M. J. Lamb,
C. L. S. Lewis, D. Neely, and M. Notley, IEEE T. PLASMA SCI. 31, 1016(2003).
[3] B. Rus, J. Dunn, T. Mocek, A. J. Nelson, M. E. Foord, R. Shepherd, W. Rozmus, H. A.
Baldis, M. Kozlová, J. Polan, P. Homer and M. Stupka, Proc. Of the 10th International
Conference on x-ray laser, 577(2006).
ICXRL 2014 Conference Program and Book of Abstracts
Page 126
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Responses of molecular and covalent carbonaceous solids to extreme ultraviolet and soft
x-ray laser radiation
Authors: M. Toufarova1,2*, V. Hajkova1, J. Chalupsky1, T. Burian1,3, J. Vacik4, L. Vysin1,2, J.
Gaudin5, M. Nagasono6, M. Yabashi6, R. Sobierajski7, J. Krzywinski7, M. Störmer8, and L.
Juha1
Author Affiliation:
[1] Institute of Physics ASCR, Na Slovance 2, 182 21 Prague 8, Czech Republic
[2] Czech Technical University in Prague, Břehová 7, 115 19 Prague 1, Czech Republic
[3] Faculty of Mathematics and Physics, Charles University in Prague, Czech Republic
[4] Institute of Nuclear Physics ASCR, 250 68 Rez near Prague, Czech Republic
[5] Laboratoire CELIA , Université Bordeaux 1 - 351 Cours de la Libération 33405 Talence,
France
[6] Deutsches Elektronen-S:ynchrotron DESY, Notkestrasse 85, D-22603 Hamburg, Germany
[7] RIKEN Harima Institute, The SPring-8 Center, Sayo-cho, Hyogo 679-5148, Japan
[8] Institute of Physics, Polish Academy of Sciences, Al. Lotników 32/46, PL-02-668 Warsaw,
Poland
[9] Helmholtz Zentrum Geesthacht, Max-Planck-Strasse 1, D-21502 Geesthacht, Germany
Presenter: Martina Toufarova
Presentation Type: Poster
Abstract:
Carbonaceous materials, e.g., amorphous carbon (a-C) coatings and C60 fullerene, play an
important role in the short-wavelength free-electron laser (FEL) research motivated by FEL
optics development and FEL applications in nanotechnology. In this contribution, a response of
a-C (890-nm layer on Si) and C60 (220 nm thin film on Si) samples to extreme ultraviolet
(SCSS: SPring-8 Compact SASE Source in Japan) and soft X-ray (FLASH: Free-electron LASer
in Hamburg, Germany) free-electron laser radiation is investigated by Raman spectroscopy,
Nomarski (DIC=differential interference contrast) and atomic force (AFM) microscopy. Both
material removal (erosion, ablation) and expansion (extrusion) were registered in C60 and a-C
materials irradiated by SCSS and FLASH ultra-short laser pulses. The expansion behavior is
more frequent in a-C than in C60 fullerene solids. Raman spectroscopy confirms the expansion
due to graphitization in a-C while C60 fullerene remains at the crater edge chemically unchanged.
Single-shot damage thresholds are 4-fold and 6-fold lower in fullerene exposed to SCSS and
FLASH radiation, respectively, than in a-C irradiated at the same wavelength and pulse duration
although linear absorption of extreme ultraviolet and soft X-ray spectral regions should be in a-C
stronger because of its higher density (i.e., 2.4 g/cm3 in comparison to fullerene‘s 1.7 g/cm3).
This behavior can be explained by the fact that a-C represents covalent carbonaceous solids
while C60 fullerene is a typical example of molecular solids, where C60 clusters are bound
together only by weak intermolecular interactions, i.e., van der Waals forces. Just a small amount
of energy should be needed for evaporating C60 clusters, especially if a possible non-thermal
mechamism based on cluster charging and cluster-ion repulsion should be taken into account. C60
fullerene seems to be a promising material for an efficient and clean surface nanopatterning
induced by short-wavelength lasers.
ICXRL 2014 Conference Program and Book of Abstracts
Page 127
ICXRL 2014 Conference Program and Book of Abstracts
Page 128
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Diagnosis of Gold Plasma Using Soft X-Ray Laser Interferometry
Authors: C. Wang1, H. H. An1, G. Jia1, Z. H. Fang1, X. F. Meng1, W. Wang1, J. Xiong1, J. R.
Sun1, Z. K. Liu2, S. J. Fu2, W. D. Zheng3, X. M. Qiao3, S. J. Wang1
Author Affiliation:
[1] Shanghai Institute of Laser Plasma, Shanghai, China
[2] National Synchrotron Radiation Laboratory, University of Science and Technology of
China, Hefei, China
[3] Institute of Applied Physics and Computational Mathematics, Beijing, China
Presenter: C. Wang
Presentation Type: Poster
Abstract:
The diagnoses of laser-plasma electron density have important significance for inertial
confinement fusion, plasma physics, high energy density physics and other related fields. Soft xray laser probe Interferometry is one of good tools to measure electron density distribution
information of plasma near the critical surface1-4. With 13.9nm Ni-like Ag x-ray laser as a probe,
using double frequency grating shearing interference technique4, the electron density distribution
of plasma produced by laser irradiated gold planar target was diagnosed. Clear interference
fringe image was obtained. Preliminary processing of the fringe shows that, the maximum
density measured is about 1.4 times the critical density. It was found that there were some
deviation between experiments and simulations, witch provides a useful reference to further
optimize the simulation program. The experimental results fully demonstrate that the soft x-ray
double frequency grating shearing interference technique is practical to diagnose near-criticaldensity plasma of medium and high-Z materials, which will have good application value.
fig.1 The experimental recording interference fringes and preliminary results(Along the arrow)
References (as needed) Format: Author, Publication, Volume, Issue (year)
[1] L.B. DaSilva et.al., Phys.Rev.Lett., 74, 3991(1995)
[2] C. Wang et.al., Acta Phys.Sin., 54, 202(2005)
[3] J. Filevich et.al., Opt.Lett., 25, 356(2000)
[4] Z. K. Liu et.al., Acta Phys.Sin., 62, 070703(2013)
ICXRL 2014 Conference Program and Book of Abstracts
Page 129
Abstract Submission: ICXRL 2014
May 26-30, 2014 Fort Collins, Colorado, U.S.A.
Title: Investigation of Gadolinium plasmas for 6.7 nm lithography
Authors: Liang Yin 1, Brendan Reagan1, Cory Baumgarten1, Vyacheslav Shlyaptsev1 , Mark
Berrill2, Zachary Roberson1, Jorge Rocca1
Author Affiliation:
1. NSF Center for Extreme Ultraviolet Science and Technology
Colorado State University
2. Oak Ridge National Laboratory
Presenter: Liang Yin
Presentation Type: Poster
Abstract:
The exponential increase of transistors per chip that has fueled the growth of the semiconductor
industry for the past several decades (Moore’s law) has required printing of increasingly smaller
features. To make this possible, projection lithography employing shorter wavelengths has been
employed in combination with other solutions. Immersion lithography with λ=193 nm light has
been used for the past several years to keep reducing the critical dimension. Now practically all
economically feasible solutions have been exhausted to continue using this wavelength. The
successful development of Extreme Ultraviolet Lithography (EUVL) at λ= 13.5 nm, using light
generated from laser-produced Tin plasma, is expected to be introduced in high-volume
production very soon. This sophisticated technology, that took decades to develop, will serve the
next generations of computer processors and memories. Given the long time necessary to
translate such complex technology into high volume manufacturing, interest is starting to arise in
light sources around λ= 6.7 nm for developing the next generation extreme ultraviolet
lithography technology. Unresolved transition arrays (UTA) in high ionized Gadolinium (Gd)
plasmas can produce intense radiation at wavelengths around λ= 6.7 nm which fall in the
reflection region of La/B4C multilayer mirrors have attracted attention.
Here we present a preliminary study of the emission from Gd plasmas in the spectral region near
λ= 6.7 nm. The spectral emission from plasmas generated by focusing a λ = 1.030 µm laser beam
into a 40 µm spot on a flat slab will be reported. The spectra were calibrated using atomic lines
from carbon plasma and further corroborated with the absorption edge of a Boron filter. Plasma
emission as a function of irradiation intensity and pulse width ranging from ps to ns will be
presented. The results are compared with hydrodynamic simulations with detailed atomic physics.
The conversion efficiency’s dependence on parameters, such as pulsewidth, pulse energy, and
focus size, will also be studied.
Work Supported by the National Science Foundation AIR grant #1343456 and Cymer LLC.
ICXRL 2014 Conference Program and Book of Abstracts
Page 130
ICXRL 2014 Conference Program and Book of Abstracts
Page 131
Sunday 25
6:00-8:00 PM
Check-in
Registration
at the Behavioral Sciences Building
Note that check-in for dorm room
packages will be done at the Academic
Village complex. You will be given
directions at the conference check-in at
the Behavioral Sciences Building
Monday 26
8:00-8:30
Registration
8:30-8:45
Opening
8:45-10:45
Session 1: X-Ray Lasers
Chair: Jorge Rocca
Tetsuya Kawachi (30min)
Stephane Sebban (30min)
Brendan Reagan (30min)
Szymon Suckewer (30min)
Tuesday 27
8:15-10:05
Session 4: X-Ray Imaging
Chair: Carmen Menoni
Hans Hertz (30min)
Ilya Kuznetsov (20min)
Dennis Gardner (20min)
Mario Marconi (20min)
Kyoung Hwan Lee (20min)
Wednesday 28
8:15-9:55
Session 8: X-Ray Lasers
Chair: Karol Adam Janulewicz
Annie Klisnick (30min)
Adrien Depresseux (20min)
Daniel Ursescu (30min)
Yushan Luo (20min)
Thursday 29
8:15-10:05
Session 9: Relativistic Phenomena
Chair: Greg Tallents
Ciaran Lewis (30min)
Brendan Dromey (20min)
M. Yeung (20min)
Igor Pogorelsky (20min)
Jorge Rocca (20min)
ICXRL 2014 Schedule
10:05-10:25
Coffee Break
10:45-11:15
Coffee Break
10:25-11:55
Session 5: FEL-based X-Ray
Sources
Chair: Philippe Zeitoun
Marco Zangrando (30min)
Nina Rohringer (30min)
Martin Beye (30min)
11:35-12:05
Jim Dunn Work and Life
Celebration
12:30
Board Buses
12:30-Evening
(Boxed Lunches Provided)
Rocky Mountain National Park
Exursion
Reception at the Stanley Hotel in
Estes Park Colorado
7:15
Banquet
4:15-6:00
Lab Tours
4:05
Coffee
12:25-1:45
Lunch
1:45-4:05
Session 11: Characterization, Pump
Lasers, and Optics
Chair: Hiroyuki Daido
Li Lu (20min)
Andréa Le Marec (20min)
Liang Yin (20min)
Liming Chen (30min)
Robert Jung (20min)
Alexander Vinogradov (30min)
9:55-10:15
Coffee Break
10:15-11:35
Session 8 Continued
Chair: Joe Nilsen
Olivier Guilbaud (30min)
Karol Adam Janulewicz (30min)
Eduardo Oliva (20min)
11:15-12:15
Session 1 Continued
Chair: Ciaran Lewis
Philippe Zeitoun (30min)
Jaroslav Nejdl presenting for Michaela
Kozlova (30min)
11:55-1:15
Lunch
1:15-2:05
Session 5 Continued
Chair: Tetsuya Kawachi
Hitoki Yoneda (30min)
Joseph Nilsen (20min)
2:05-3:55
Session 6: Lithography
Chair: Sasa Bajt
Patrick Naulleau (30min)
Mario Marconi (20min)
Jenny Tempeler (20min)
Ryan Miyakawa (20min)
Hyun-su Kim (20)
10:05-10:25
Coffee Break
10:25-12:25
Session 10: Imaging II
Chair: Annie Klisnick
H.T. Kim (30min)
Elizabeth Shanblatt (20min)
Carmen Menoni (20min)
Holger Stiel (30min)
Shoujun Wang (20min)
12:15-1:45
Lunch
1:45-3:35
Session 2: New X-Ray Sources
Chair: Szymon Suckewer
Luqi Yuan (for Marlan Scully) (30min)
Olga Kocharovskaya (30min)
Vyacheslav Shlyaptsev (30min)
Peter Hagelstein (20min)
3:55-5:15
Posters and Coffee Break
5:15-6:15
Session 7: Soft X-Ray/EUV Optics
Chair: Alexander V. Vinogradov
Regina Soufli (30min)
Sasa Bajt (30min)
7:00
Jorge's and Carmen's
Pool Reception
3:35-3:55
Coffee Break
3:55-6:25
Session 3: High Harmonic and
Attosecond Science
Chair: Stéphane Sebban
Steve Leone (30min)
Tenio Popmintchev (30min)
J. Seres (20min)
Ernst Fill (30min)
P. Grychtol (20min)
Zhinan Zeng (20min)
Dinner on your own
Friday 30
5/20/2014
Document Revision
11:55-12:10
Recap
12:10
Conference Ends
10:25-11:55
Session 12 Continued
Chair: Henryk Fiedorowicz
Nishikino Masaharu (30min)
Andrew Rossall (20min)
Hiroyuki Daido (20min)
Ludek Vysin (20min)
10:05-10:25
Coffee Break
8:15-10:05
Session 12: X-Ray Laser Material
Interaction
Chair: Mario Marconi
Greg Tallents (30min)
Libor Juha (20min)
Henryk Fiedorowicz (30min)
Elliot Bernstein (30min)
Page 132
ICXRL 2014 Conference Program and Book of Abstracts