Download Electron Field Emission from Silicon Emitter Arrays

Document related concepts

Multimeter wikipedia , lookup

Switched-mode power supply wikipedia , lookup

Operational amplifier wikipedia , lookup

Oscilloscope history wikipedia , lookup

Surge protector wikipedia , lookup

Nanofluidic circuitry wikipedia , lookup

Rectiverter wikipedia , lookup

TRIAC wikipedia , lookup

Resistive opto-isolator wikipedia , lookup

Ohm's law wikipedia , lookup

Power MOSFET wikipedia , lookup

Current source wikipedia , lookup

Opto-isolator wikipedia , lookup

Current mirror wikipedia , lookup

Transcript
Electron Field Emission from Silicon Emitter
Arrays
Masterarbeit
von
Felix Düsberg
aus Freising
Hochschule München
Fakultät für angewandte Naturwissenschaften und Mechatronik
Studiengang Master Mikro- und Nanotechnik
Referent: Prof. Dr. rer. nat. Alfred Kersch
Korreferent: Prof. Dr. rer. nat. Hans Christian Alt
Betreuer: Dr. rer. nat. Martin Hofmann, KETEK GmbH
Tag der Einreichung: 04.11.2014
München 2014
Übersicht
Bei der kalten Feldemission werden durch ein sehr starkes lokales elektrisches Feld Elektronen aus einer Kathode gelöst. Diese sehr starken lokalen elektrischen Felder lassen sich
durch den Effekt der lokalen Feldüberhöhung erzeugen. Hierbei kommt es an sehr scharfen elektrisch leitenden Spitzen zu einer Verstärkung des makroskopischen elektrischen
Feldes. Im Rahmen dieser Masterarbeit werden zwei verschiedene Feldemissionsemitter
aus Silizium charakterisiert. Ein Emitter basiert auf einer mikromechanischen Schattenmaske. Bei dieser Struktur bilden sich die Emitterspitzen durch Selbstorganisation von
Silizium. Die zweite Feldemissionsstruktur ist ein Säulenemitter mit hohem Aspektverhältnis. Deren Emitterspitzen werden mit Hilfe von Oxidationsschärfen hergestellt. Die
Charakterisierung beider Emissionsstrukturen erfolgt mit Hilfe von Rasterelektronenmikroskopie, elektrischer Messungen und numerischer Simulation. Neben der Emittlung
der Feldüberhöhungsfaktoren wird auch auf die Effekte der Konditionierung eingegangen. Die Konditionierung beschreibt die Effekte, welche bei der ersten Benutzung eines
Feldemissionsemitters auftreten und sind zumeist auf natürliches Oxid zurückzuführen.
Nach der Charakterisierung dieser Feldemissionsemitter wird der Einfluss eines seriellen
Widerstands und der Einfluss des Restgases auf die Emissionsverhalten und die Stromstabilität untersucht.
Die Emitter mit mikromechanischer Schattenmaske zeigen Spannungsdurchbrüche, Kurzschlüsse und elektrische Ströme aus nicht identifizierbaren Quellen. Der Säulenemitter
mit hohem Aspektverhältnis zeigt im Gegensatz dazu gutes Emissionsverhalten. Der simulierte Feldüberhöhungsfaktor für den Säulenemitter ist jedoch geringer als der gemessene Feldüberhöhungsfaktor. Die Ursache hierfür ist vor allem die Entfernung der
Oberflächenoxide auf der Emitterspitze, wodurch der Spitzenradius kleiner wird.
Mit Hilfe eines seriellen Widerstands lässt sich der Emissionsstrom stabilisieren. Der Widerstand wirkt aber nicht nur stabilisierend sondern auch stromlimitierend. Deswegen ist
ein hoher Emissionstrom, welcher nur durch einen hohen Widerstand stabilisiert wird,
nicht realisierbar. Das Restgas um die Emitterstruktur macht sich ebenfalls in der Stromstabilität bemerkbar. Bei sehr niedrigen Drücken (<1 · 10−6 mbar) ist der Einfluss des
Restgases nicht bemerkbar. Mit steigender Anzahl der Restgasmoleküle wird der Emissionsstrom immer instabiler. Dies liegt vorerst nur an der Adsorption und Desorption
der Moleküle, aber bei noch höheren Drücken (>1 · 10−5 mbar) wird genügend Restgas
ionisiert, um zerstörerisch auf die Emitterstrukturen zu wirken.
Abstract
In the process of cold field emission electrons are extracted from a cathode by a very high
local electric field. These very high local electric fields can be generated by the field enhancement effect. The macroscopic electric field experiences enhancement at very sharp
tips which are electrically conducting. In the present thesis two different silicon field
emission emitters are characterised. The first emitter is based on a micro shadow mask.
The emitter tips form by self-organisation of silicon. The second emission structure is a
pillar emitter with high aspect ratio. The emitter tips are fabricated by means of sharpening oxidation. The characterisation of both emitter structures is carried out by scanning
electron microscopy, electrical measurements and numerical simulation. In addition to
the calculation of field enhancement factors the conditioning effect is investigated. Conditioning describes the effects which occur at the first use of field emitters and mostly
arise from native oxides. After the characterisation of the field emitters the influence of a
serial resistance and the influence of gas residuals on the emission behavior and current
stability are investigated.
The emitters with the micro shadow mask shows voltage breakdowns, short-circuits and
electric currents from unknown sources. On the contrary, the pillar emitter with high
aspect ratio shows good emission behavior. However, the simulated field enhancement
factor of the pillar emitter is twice as small as the measured field enhancement factor.
The reason for this is the removal of surface oxides on the emitter tip whereby the tip
radius reduces.
The emission current can be stabilised by means of a serial resistance. The effect of the
resistance is not only stabilising but also current limiting. Because of this, a high emission
current that is only stabilised by a high resistance is not realisable. Gas residuals around
the emitter structure also become noticeable in the current stability. The influence of gas
residuals is not perceivable at very low pressures (<1·10−6 mbar). However, the emission
current becomes unstable with a growing number of gas molecules. In the beginning, this
is only due to adsorption and desorption of gas molecules, but with even higher pressures
(>1 · 10−5 mbar) enough gas residuals get ionised to become destructive to the emitter
structures by ion bombardment.
Contents
1 Introduction
1
2 Theory
3
2.1 Electron field emission from metals . . . . . . . . . . . . . . . . . . . . . . . .
3
2.2 Electron field emission from semiconductors . . . . . . . . . . . . . . . . . .
5
2.3 Factors of influence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
9
2.3.1 Local field enhancement . . . . . . . . . . . . . . . . . . . . . . . . . .
9
2.3.2 Ambient pressure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
10
2.3.3 Thermal effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11
2.3.4 Oxidation of the emitter surface . . . . . . . . . . . . . . . . . . . . .
13
2.3.5 Serial resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
13
2.4 Interpretation of experimental results . . . . . . . . . . . . . . . . . . . . . . .
14
3 Experimental set-up
18
3.1 Vacuum chamber . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
18
3.2 Voltage supply and current measurement . . . . . . . . . . . . . . . . . . . .
18
3.3 X-ray source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
19
3.4 Control program . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
22
4 Characterisation
24
4.1 Emitter structure with micro shadow mask . . . . . . . . . . . . . . . . . . .
24
4.1.1 Fabrication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
24
4.1.2 Preparation of the measurements . . . . . . . . . . . . . . . . . . . . .
26
4.1.3 Structure with undoped polycrystalline silicon . . . . . . . . . . . . .
28
4.1.4 Structure with doped polycrystalline silicon . . . . . . . . . . . . . .
32
4.1.5 Emitter structure with high aspect ratio . . . . . . . . . . . . . . . . .
37
4.2 Emitter structure with pillar emitters . . . . . . . . . . . . . . . . . . . . . . .
39
4.2.1 Fabrication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
39
4.2.2 Simulation of the field enhancement factor . . . . . . . . . . . . . . .
41
4.2.3 Sample preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
43
4.2.4 Characterisation of pillar emitter structure . . . . . . . . . . . . . . .
44
4.2.5 Influence of a serial resistor . . . . . . . . . . . . . . . . . . . . . . . .
47
4.2.6 Influence of ambient pressure . . . . . . . . . . . . . . . . . . . . . . .
52
4.2.7 Real conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
53
5 Summary and Conclusion
56
1
INTRODUCTION
1 Introduction
X-ray fluorescence (XRF) is an established analytical method for examination of the elemental composition of bulk material [1]. It covers a broad range of elements and can
handle a weight fraction ranging from traces to pure elements. This makes X-ray fluorescence an interesting tool for different applications. Growing markets for XRF are,
for example, recycling of waste or the investigation of consumer goods for substances
that are hazardous to health [2]. But it is also used for forensics [3] or archeology [4].
A big share of the XRF market are portable compact devices known as handhelds. For
these applications a system combined of X-ray source and X-ray detector is desirable. For
these handhelds mostly “classical” X-ray tubes based on thermionic electron emission are
used. Because of the dimensions of the X-ray source present systems consist of seperate
modules and therefore potential for optimization does exist. An electron source based
on a CMOS manufacturing process would be a first big step for a compact X-ray source.
The electrons are generated by electron field emission. Thereby extraction of electrons
is achieved by a tunneling process at very high electric fields. These high electric fields
can be generated by applying electric fields to structures with sharp tips. In contrast to
thermionic electron emission the energy distribution of the emitted electrons is smaller
[5] and therefore electric focusing is achieved easier and a smaller diameter of the focal
spot is possible.
Requirements for the emitter
In XRF applications an electron emission current of 10 µA to 100 µA is needed [6]. A
realistic current for continuous operation per emitter tip is 10 nA [7] and therefore an
emitter array must consist of 1000 to 10000 single emitters.
The life time of an emitter structure is also very important. To enhance the life time the
current densities at the emitter tips must be relatively low. Silicon field emitters can emit
currents up to 1 µA per tip [8] hence the emission current of 10 nA per tip should not be
a problem and a big distance to the maximum current should enhance the life time.
A critical criterion for the usage of electron field emission in XRF applications is the
current stability. In order to be able to compare different measurements quantitatively a
very stable X-ray source is necessary and, therefore, a very stable electron source. The
requirements for the electron emitter result from the comparison with a modern X-ray
tube based on thermionic electron emission [9]. In a period of 8 hours the stability of the
emission current should be as good as 0.2 %. This requirement is one of the hardest to
achieve because the emitters change during emission and fluctuations of the current are
observable. But a process based on silicon also provides many opportunities to stabilize
1
1
INTRODUCTION
the emission current. One possibility is a resistance in series to the emitter tips. With
this serial resistor current stabilities measured of within a range of 4 % are possible [8].
Another way of stabilisation is a large number of single emitters. The emission current
of an array is more stable than that of a single emitter simply because of averaging of the
electron field emission [8].
Gas residuals have a negative influence on the current stability. Molecules or atoms can
be ionised by the emitted electrons and the emitter gets destroyed by ion bombardment
[10]. Additionally a change of the emitter work function is possible by adsorption of gas
residuals on the emitter surface [11].
Objective of this thesis
The objective of this thesis is the characterisation of two different types of electron field
emitters. The first type characterised is a device with a micro shadow mask. The second electron field emission device investigated is a pillar structure with high aspect ratio. The influence of a serial resistor on the current stability is examined with current
stability measurements and voltage sweeps. In addition, the impact of gas residuals is
characterised with current stability measurement at different pressures.
2
2
THEORY
2 Theory of electron field emission
The theory of Fowler and Nordheim describes the emission of electrons from metals due
to an externally applied electric field [12]. This effect is known as the cold electron
field emission. The difference between thermionic emission and electron field emission
lies in the mechanism of overcoming the potential barrier to vacuum. In the case of
thermionic emission the electrons get excited thermally and, therefore, some electrons
can overcome the potential binding, also known as the work function. In the case of
electron field emission the potential barrier to vacuum decreases in its spatial dimensions
by an electric field and, therefore, some electrons can overcome the potential barrier by
tunneling.
2.1
Electron field emission from metals
Electrons in the conduction band of a metal can move freely. However, these electrons can
not leave the metal because of a potential barrier at the surface to vacuum. This potential
barrier arises from the electrostatic interaction between adjacent electrons. Regarding
electrostatic attraction and repulsion the lowest potential energy of an electron is given
by the equilibrium of these two forces.
An electron that leaves the bulk causes an surplus of positive charges in the solid. As a
result of this surplus the electron is pulled back. In a classical consideration an electron
that wants to leave the solid into vacuum needs enough thermionic energy to get from
the Fermi level EF to the vacuum level EVac (Figure 1). That amount of work is called the
work function Φm [13].
But thermionic emission is not the only way for an electron to get across the potential
barrier. Quantum mechanics allow a short term stay of an electron in the forbidden area
below the potential barrier. An electron, in that case, has the chance to tunnel through
the potential barrier. The chance for tunneling depends on the height and width of the
potential barrier. These two parameters can be modified by an external electric field [15].
An external electric field shapes the potential barrier triangularly due to the potential
energy of an electron in the electric field:
E F ield = −q · F · x
(1)
with the external electric field F, the charge q and the distance from the surface x.
In addition to the deformation the potential barrier is lowered due to the image charge
(Figure 2). This charge originates from the remaining positive charges when an electron
3
2.1
Electron field emission from metals
2
THEORY
Figure 1: Potential barrier for thermionic emission of electrons from a metal into vacuum.
EF = Fermi level, Evac = vacuum level, Φm = work function of the metal [14]
has left the solid. With an external electric field the total potential energy results in:
E pot (x) = −
e2
− q · |F | · x
16 · π · ǫ0 · x
(2)
The maximum of EPot (x) gives the lowering of the potential barrier:
v
t e3 · |F |
∆Φ =
4 · π · ǫ0
(3)
The first satisfactory description of the generated tunneling current has been given by
Fowler and Nordheim [12]. The emitted electron current can be described by the following equation:
j(F ) = e ·
ˆ
N (W ) · D(W, F )dW
(4)
With N(W) being the supply function which describes the electron flux to the potential
barrier and the so called transmission coefficient D(W,F) which describes the chance for
tunneling. e is the electron charge. To deduce the transmission coefficient it is necessary
to solve the Schrödinger equation for the potential barrier. An analytical solution is only
possible for the simplified case of the triangular potential barrier neglecting image charge
effects. The classical Fowler-Nordheim equation results when the electrons are described
by the Sommerfeld free electron model with Fermi-Dirac statistics at T = 0 K [13]:
3
−B · Φm2
A· F2
)
· e x p(
j(F ) =
Φm
F
4
(5)
2.2
Electron field emission from semiconductors
2
THEORY
Figure 2: Lowering of the potential barrier due to an electric field and the image charge
effect. ΔΦ is the lowering of the maximum of the potential barrier, xm is position of the
maximum [14]
with the Fowler-Nordheim constants A =
e3
8π·h
and B =
p
8π· 2me
3·e·h .
For barrier models with
image charges as shown in figure 2, approximations like the Jeffreys-Wentzel-KramersBrillouin (JWKB) approximation are needed [16]:
3
−B · Φm2 · v( y)
A· F2
·
e
x
p(
)
j(F ) =
Φm · t( y)2
F
with y =
∆Φm
Φm
=
Ç
e3 ·F
4πǫ0
(6)
· Φ−1
and the Nordheim functions t(y) and v(y). These two
m
functions take into account the effect of the image charge. Common approximations are
t( y)2 = 1.1 and v( y) = 0.95 − y 2 [11].
Fowler and Nordheim proved with their experiments that equation (6) applies as long as
the temperature is in a ordinary range and the field strenghts are above 108 V/m [12].
2.2
Electron field emission from semiconductors
The Fermi level in metals lies within the conduction band and metals have a huge amount
of free charge carriers due to metallic bonding. Semiconductors, on the contrary, have
their Fermi level in the forbidden area between the valence band and the conduction
band. The amount of free charge carriers is adjusted by doping the semiconductor with
foreign atoms (acceptor or donator). This leads to a smaller amount of effectively free
5
2.2
Electron field emission from semiconductors
2
THEORY
charge carriers in a semiconductor compared to a metal. Due to this differences arise for
the theory of field emission for semiconductors [13].
Undoped silicon (Si) has a band gap of 1.12 eV [15] and belongs to the group of the
semiconductors. When doped with phosphor, Si becomes a n-type semiconductor with a
donor level 44 meV below the conduction band [15]. P-type silicon has an acceptor level
45 meV above the valence band and is fabricated by doping silicon with e.g. boron [15].
For electron field emission devices based on silicon, highly doped silicon is usually used
[13].
At the boundary surface of doped silicon to vacuum surface states are generated. The
density of these surface states lies typically between 1012 -1014 cm-2 [13]. Depending
on the type of doping of the silicon the surface states increase or decrease the surface
potential barrier (Figure 3). In p-doped silicon the Fermi level is close to the valence band.
Due to this, positive surface states are generated and the potential barrier is decreased.
The Fermi level in n-doped silicon is close to the conduction band and the surface states
are charged negatively. These negative charges cause an increase of the potential barrier.
However, these assumptions are only valid for zero field or with very low currents [13].
Because of this, lower electric fields are expected for p-type silicon to start electron field
emission compared to n-type silicon due to the decrease of the potential barrier [11].
Figure 3: Schematic diagram of the generation of surface states at the boundary surface for
zero-field (EV = conduction band; EF =Fermi energy; EC = conduction band)[17]
Because of the high density of free charge carriers in metals the effect of field penetration
can be ignored. However, when an electric field is applied to a semiconductor, the field
penetrates into the bulk. This has an effect on the charge carrier density and, therefore,
the image charge potential needs correction. This correction is approximated by:
χk =
v
t εr − 1
εr + 1
(7)
It applies to semiconductors where the dielectric relaxation time is short, which is the
6
2.2
Electron field emission from semiconductors
2
THEORY
case for highly doped semiconductors. These are used for electric field emitters. Given
the relative permittivity of silicon (εr = 11.9) the correction factor is 0.91. Because of the
small influence of this factor it will be neglected in the following.
Figure 4 shows the band diagrams of n-doped and p-doped semiconductors . Due to
an electric field the conduction band and the valence band bend downwards. For sufficiently high fields the bottom of the conduction band bends below the Fermi level and
the electrons concentrate in the depression. In this state the semiconductor shows degenerated behavior. The n-doped semiconductor can be approximated as a metal and
the electron field emission current is limited by the transparency of the potential barrier. When increasing the concentration of n-dopands the emitted current shows a small
increase [11].
Figure 4: Energy diagram of a n-type and a p-type semiconductor emitter in a high electric
field. The conduction band bends below the Fermi level and electrons concentrate in the
depression. In p-doped semiconductors a depletion region forms below the emission area
because of the limited supply of free charge carriers. (EC = conduction band, EF = Fermi
level, EV = valence band #=holes =electrons = position of depletion zone) [17]
❛
Experiments with p-doped semiconductors show a plateau in the moderate current regions. This can be explained with a model of different regions of electron field emission
in p-doped semiconductors (Figure 5):
In the first region (I) the emitted current is limited by the transparency of the potential barrier, which is dependent on the applied electric field. In this region the p-doped
semiconductor shows metallic emission characteristics which can be seen in the U-I characteristics.
With higher fields applied the p-type semiconductor transits to the second region of electron field emission (II).
In this region the emission current shows saturation. The current is now limited by the
number of free charge carriers and no longer by the transparency of the potential barrier. Below the emission area a depletion area forms because of the limited supply of
free charge carriers, the saturation also affects the stability of the emitted current. The
7
2.2
Electron field emission from semiconductors
2
THEORY
fluctuations of the emitted current are reduced (< 5 %) [18]. Adsorbates on the emitter
surface show a smaller influence on the emitting current due to the smaller influence of
the transparency of the potential barrier, too [13]. With even higher fields the generation
of free charge carriers by field induced ionization can be observed (III). Due to this the
emitted current shows an abrupt rise. A fourth region appears with an avalanching ionisation due to the electric field. However, this effect can rarely be observed in experiments
because the emitting structures get destroyed by the high currents which appear before
this event for the most part [19].
Figure 5: Current-voltage characteristic of p-doped silicon with a specific resistance of 3Ωcm
at 300K. Region I: Emitted current limited by the transparency of the potential barrier Region
II: Saturation of the emitted current. Region III: Free charge carriers generated by field
enhanced ionization [20]
Even under the assumption of an ideal surface of the semiconductor the theory of electron field emission from semiconductors is far more complicated than the theory of field
emission from metals. The field emission from semiconductors can only be apprehended
adequately when understanding the single effects occurring. A complete quantitative
theory for electron field emission from semiconductors does not exist at this time [13].
Research was performed using extensive models which considered some of the occurring
effects, but the results showed big differences to the results of experimental observations
[21, 5].
8
2.3
2.3
2.3.1
Factors of influence
2
THEORY
Factors of influence
Local field enhancement
V
Electron field emission is observable at extremely high electric fields in the range of 1 µm
V
to 100 µm
[11]. The microscopic electric field, however, is enhanced by a conductive tip.
This can be used to produce electron field emission with small applied voltages.
Figure 6: Field enhancement by a conductive tip. The microscopic electric field is displayed
by the levels of gray and the lines show the equipotential regions [6].
Figure 6 shows the microscopic electric field and the equipotential regions of a microscopic structure in an electric field. At the peak of the structure a high electric field
develops. The field enhancement of the structure tip depends on the aspect ratio and
the curvature radius of the structures flanks. The higher the aspect ratio or the smaller
the curvature radius of the structures flanks, the higher the field enhancement becomes.
Additionally, the radius of the structures tip and the angle between the flanks of the structure have an influence on the field enhancement factor. The smaller these two factors
the higher the electric field enhancement gets [6]. For example, a cone like that shown
in figure 6 has an enhancement factor which can be calculated to [17]:
βC =
rC
+ 3 cos α
h
(8)
rC = radius of cone tip
h = height of the cone
α = angle between the flanks of the cone
Due to this, the factor F in the Fowler-Nordheim equation (6) must be replaced with F ·β.
F is the macroscopic field and β is the field enhancement factor:
3
A · (F · β)2
−B · φm2 · v( y)
j(F ) =
·
e
x
p(
)
φm · t( y)2
(F · β)
9
(9)
2.3
2.3.2
Factors of influence
2
THEORY
Ambient pressure
Field emission currents from electric field-enhancing emitter structures strongly depend
on the ambient pressure. In the 19th century Paschen showed the relation between sparkover voltage, sparking distance and ambient pressure [22]. As mentioned at the end of
V
, whereas electric
chapter 2.1 field emission occurs at electric fields in the range of 108 m
V
discharges can be observed at electric fields in the range of 107 m
[23]. Hence, vacuum
is needed to prevent electric discharges. However, some other effects occur which can
damage or influence electron field emission.
The most important pressure dependent effect is the ionization of residual gas atoms
between anode and cathode. The resulting ions get accelerated in the electric field and
collide with the surface. This ion bombardment leads to a degradation of the tip surface
and, therefore, also to a degeneration of the field enhancement factor [10]. The higher
the pressure the more intense the effect. The result is a smaller current yield and a
reduced lifetime of the emitter structure. However, the ion bombardment can create
new convex structures with very high field enhancement factors. Due to the high field
enhancement factors destructions of electric field emitters are possible because of the
higher current densities and, therefore, a higher temperature [24].
Figure 7: Schematic model of resonance tunneling which describes the wave function Ψm of
the tunneling electron from below EF . Ψa is the localized adsorbate resonance funtion and
Ψf is the wave funtion of the emitted electron. [25]
Additionally, fluctuations of the emission current arise due to the adsorption and desorption of residual gas atoms: When an atom is adsorbed on the emitter surface, a potential
well with a diameter about of the size of the absorbed atom (Figure 7) is added to the
potential barrier. The electronic state of the adsorbed atom is characterized by a discrete
level, which is broadened and referred to as a local density of states. If the energy of
10
2.3
Factors of influence
2
THEORY
the tunneling electron lies within an energy level of the atom, the electron can tunnel
through the barrier, get across the spatial domain of the atom without decrease of the
probability amplitude, and then tunnel through the narrower barrier as shown in figure
7 [11].
The increase or decrease of the tunneling probability through adsorbates can be found
by the solution of the Schrödinger equation [11]. An increase of the Fowler-Nordheim
emission is expected, when the adsorbate states lay in the region near Fermi energy. If
the adsorbates have no constrained states or the states are not in the conducting band of
the emitter material the emission current will be decreased [25]. The effect of adsorption and desorption of residual gas atoms can not be prevented. In ultra high vacuum
(10-9 -10-10 mbar) an emitter structure is covered with an atomic monolayer within one
hour[26].
2.3.3
Thermal effects
In semiconductors not only the thermal and the electric conductivity depend on temperature, but also the number and mobility of free charge carriers [27]. At a temperature of
400 K a reduction of the charge carrier mobility arises which results in an incline of the
ohmic resistance. The rise of the charge carrier concentration has the opposite influence.
If the temperature raises, the increase of the charge carrier concentration becomes the
dominating effect and the ohmic resistance decreases [27].
Most of the field emitter structures have very small tip radii. Due to the small radius high
current densities are generated and the emitter raises its temperature because of Joule
heating. The amount of Joule heating can be estimated. Typical x-ray sources need an
electron current of at least 1 µA. Even though that this current is distributed over multiple
emitters the normally emitted current of one emitter is in the range of 3 nA. With a tip
radius of 20 nm,a height of 1 µm and with the material of n+ -silicon (ρ=1 · 10−3 Ωcm) a
resistance of 10 kΩ results according to:
R=ρ·
l
A
(10)
The electric power which is generated by this resistor can be calculated to
P = R · I2
(11)
and results in 9 · 10−14 W. With an emission time of 60 seconds a thermal energy
Q=P·t
11
(12)
2.3
Factors of influence
2
THEORY
g
of 5.4 · 10−12 J is produced in the emitter. With the density of silicon δ=2.33 cm3 and a
cylindrical shape of the tip the mass of the emitter is calculated by
m = r2 · π · h · δ
(13)
and with it the temperature difference of the used heat capacity of Si of c=700 K·kJ g
∆T =
Q
m·c
(14)
results in ∆T = 2600 K. Evidently a big part of the thermal energy dissipates into the
substrate and the assumption of the cylindrical form for silicon emitters is not always
correct. However, the estimation shows that Joule heating should not be neglected for
other shapes of emitters like pyramidically shaped or conically shaped emitters, either.
Effects of heating can be oxidation or local material failures of the emitters. Also the
crystalline structure can be effected [28]. All these effects lead to a destruction of the
emitter structure.
It was was assumed for a long time that the only heating effect that occurs at electron
field emitters is Joule heating. However, several experiments [29] and calculations [30]
imply that a dominant contribution to the thermal balance during electron field emission
is a quantum-mechanical energy exchange process known as the Nottingham effect.
Figure 8: Illustration of the Nottingham effect. At temperature T = 0 K all emitted electrons
are replaced by electrons with higher energy than the emitted electron. The emitter tends
to heat. With a symmetric energy spectrum of emitted electrons the heating effect vanishes.
The temperature necessary for this effect is the inversion temperature T=T* [13]
12
2.3
Factors of influence
2
THEORY
This energy exchange mechanism takes place when an emitted electron is replaced by an
electron from the bulk. If the energy εe of the emitted electron is less than the energy εr
of the replacement electron the emitter tends to be heated during emission. If the energy
εe of the emitted electron is greater then the energy εr of the replacement electron, the
emitter tends to be cooled as predicted by Nottingham [31]. For emission at temperatures
T = 0 K, all energy states above the Fermi energy are not occupied (Figure 8). Therefore,
all emitted electrons have less energy then the Fermi energy. For T > 0 K, the energy
levels above the Fermi energy will be occupied and contribute to emission. This causes
an increase in the average heat removed by an emitted electron. If the temperature
of the emitter is high enough the Nottingham effect vanishes, because the spectrum of
the emitted electrons becomes symmetric around the Fermi level. This temperature is
the inversion temperature T = T*. Above the inversion temperature T* the Nottingham
effect changes from heating to cooling, because most of the emitted electrons come from
above the Fermi energy and energy gets extracted from the emitter.
Together with Joule heating, Nottingham heating causes a rapid temperature rise in the
emitter.
2.3.4
Oxidation of the emitter surface
Oxides on the silicon surface have a essential impact on electron field emission behavior.
These oxides form when the emitter structure gets in contact with ambient atmosphere,
which is inevitable in most experiments. Due to the exposition to ambient atmosphere a
dielectric SiO2 layer is generated, which can be thicker than the tunneling barrier. Such
an insulating layer can reduce significantly the probability for tunneling and therefore
the electric field emission current [32]. This leads to an aberration of the typical electron
field emission characteristics [33].
Conductive channels in the oxides can be generated by hot electrons, which are generated
at high electric fields. The local electric field experiences additional enhancement by the
conductive channels in the insulating surrounding. The reason for the additional field
enhancement is the geometry of such a channel. The channel is very narrow but relative
high and, therefore, these channels have a high aspect ratio. A high aspect ratio results
in a high field enhancement factor. The channels are stable only for a short period of
time and the field emission characteristics show a hysteresis but during the forming of
these channels the destruction of the oxide is possible [34].
2.3.5
Serial resistance
For technical applications of electron field emitter structures a stable emission current in
time is necessary. The sections above describe different effects which destabilise electron
13
2.4
Interpretation of experimental results
2
THEORY
field emission and lead to fluctuations of the emission current. A simple way to counteract these fluctuations of the current is a serial resistance between emitter structure and
ground.
When a voltage is applied to a emitter structure and electrons are emitted a voltage
drop over the serial resistor is the consequence [8]. This voltage drop works as negative
feedback. High currents lead to high negative feedback and low currents to low negative
feedback, therefore, the emission current gets stabilized. Figure 9 displays the influence
of a serial resistance at an electron field emitter array with 25 single emitters. The current
fluctuations get lowered with higher resistance values but also the current itself gets
lowered because of the voltage drop over the resistor.
Figure 9: Dependence of current fluctuations ΔI/I on the serial resistance Re . The fluctuations are lowered with higher serial resistance values, but the emission current itself is also
lowered.[8]
2.4
Interpretation of experimental results
Fowler-Nordheim plot Most experiments are not directly measuring the current density as a function of the applied field. Usually, voltage is applied to an extraction structure
and the current is measured. Given an effective emission surface S and an ideal metal
surface according to chapter 2 and taking into account the field enhancement factor β of
14
2.4
Interpretation of experimental results
2
THEORY
the homogeneous electric field, the current of an electric field emitter structure can be
described by the following equation:
3
A · (β · F )2
−B · Φ 2 · v( y)
I(F ) = S ·
·
e
x
p(
)
Φ · t( y)2
F ·β
(15)
The substitution of the electric field F needs the introduction of the voltage enhancement
factor βU . The definition of the voltage enhancement factor is βU =
β·F
U
and with it the
electric field emission current becomes:
3
A · (βU · U)2
−B · Φ 2 · v( y)
·
e
x
p(
)
I(U) = S ·
Φ · t( y)2
U · βU
(16)
With this equation is it possible to compare measurements with theory. For an easier
interpretation of measurements, graphs are usually displayed in the Fowler-Nordheim
coordinates. In this graph l n( UI2 ) is plotted against
1
U.
This correlation results from
equation (16) by logarithmising:
3
A · βU2
−B · Φ 2 · v( y)
I
)
+
l n( 2 ) = l n(S ·
U
Φ · t( y)2
U · βU
Plotting l n( UI2 ) against
1
U
(17)
results in a linear trend for Fowler-Nordheim characteristics
(Figure 10):
l n(
I
1
)
=
m
·
+ tFN
F
N
U2
U
(a) linear plot
(18)
(b) Fowler-Nordheim plot
Figure 10: Linear plot (a) and Fowler-Nordheim plot (b) of electric field emission current.
By plotting ln(I/U2 ) as a function of 1/U it is possible to identify field emission currents,
since field emission currents result in a linear function.
Chapter 2.2 shows that there are some differences in the theory of field emission from
15
2.4
Interpretation of experimental results
2
THEORY
semiconductors and the theory of field emission from an ideal metal surface. Particularly,
no quantitative description for emission from semiconductors exists. Even if that theory
existed, the application would be very complex because a lot of the necessary parameters
would be very hard to determine e.g. field penetration or saturation effects.
The gradient mFN and the axis intercept tFN of the Fowler-Nordheim plot are used for the
interpretation of the measurements in this work. The interpretation is simplified by using
the case of a triangular potential barrier and, therefore, the Nordheim functions v(y) and
t2 (y) can be neglected. The gradient mFN can be described by the following equation:
3
mF N
Φ2
= −B ·
βU
(19)
Changes in the gradient mFN can be explained by changes of the work function Φ or the
voltage enhancement factor βU . The axis intercept tFN can be described by:
t F N = l n(S ·
A · βU2
Φ
)
(20)
The axis intercept tFN is dependent on the work function Φ, the voltage enhancement
factor βU and the active emitter surface S. Changes in the emitter surface results in a
parallel translation of the straight line in the Fowler-Nordheim plot.
With equation (19) it is possible to calculate the voltage enhancement factor βU for a
constant work function:
3
Φ2
βU = −B ·
mF N
(21)
The active emitter surface S can be calculated by transposing equation (20):
etFN · Φ
S=
A · βU2
(22)
Linearity of the Fowler-Nordheim plot is often visible in experiments with silicon field
emitters. By fitting in the linear regions of the FN-Plot it becomes possible to determine
the voltage enhancement factor βU and the active emitting surface S. Because of the influences which are specified in chapter 2.3 the measured parameters can deviate from the
real parameters. For example adsorbents on the emitter surface can influence the work
function of the emitter material or conductive channels increase the field enhancement
factor.
Calculation of errors
In the present thesis the voltage enhancement factor βU and the
active emitter surface S get calculated. The necessary values mFN and tFN are fitted parameters and, therefore, the values of these parameters have errors.
16
2.4
Interpretation of experimental results
2
THEORY
The absolute error for the voltage enhancement factor βU can be calculated by:
3
B · Φ2
∆βU =
· ∆m F N
mF N 2
(23)
For the active emitter surface S the absolute error can be described by:
∆S = |
etFN · Φ · 2
etFN · Φ
|
·
∆t
+
|
| · ∆βU
F
N
A · βU2
A · βU3
17
(24)
3
EXPERIMENTAL SET-UP
3 Experimental set-up
The following part describes the experimental setup for the characterisation of field emitter structures. With this setup it is also possible to create and characterise X-radiation.
At the beginning of the present thesis only vacuum chamber, voltage supply and current
measurement were partly finished. The whole experimental part for the creation of Xrays was built up as an additional part of this thesis.
3.1
Vacuum chamber
As explained in chapter 2.3.2, ambient pressure has a big impact on the stability and
lifetime of electron field emission structures. The lower the pressure the better emission stability is achieved. The vacuum in the chamber is generated by a vacuum system
consisting of two vacuum pumps. The first pump is a backing pump MVP 015-2 by Pfeiffer vacuum and is needed to generate a prevacuum for the second pump. The second
pump is a turbo molecular pump HiPace 80 by Pfeiffer vacuum. The vacuum system has a
pumping speed (N2 ) of 67 l/s [35] and the lowest possible pressure that can be reached
in the vacuum chamber is 10−7 mbar. The pressure in the chamber is measured with the
PBR260 Compact Full Range Gauge by Pfeiffer vacuum. This gauge allows a measurement
range of 5 · 10−10 mbar to 1000 mbar and functions with a Bayard Alpert hot cathode ion-
ization measurement system and a Pirani measurement system [36]. The pressure in the
vacuum chamber is regulated by a control needle valve EVR116 by Pfeiffer vacuum. The
pressure can be controlled in the range of 1 · 10−7 mbar and 1 · 10−4 mbar by filling the
vacuum chamber with N2 . To regulate the pressure in higher ranges it is necessary to reduce the speed of the turbo molecular pump, otherwise damages result at the molecular
pump.
3.2
Voltage supply and current measurement
Electron field emission occurs at high electric fields. These fields are generated by high
voltages applied to the extractor cathode (Figure 11). This high voltage is supplied by a
precision high voltage module DPR 10106 by ISEG with a range of 0V to 1000V. Changing
the polarity of the voltage supply is also possible. The high voltage module is remotely
controlled.
The emitted current is measured with the Picoammeter 6485 by Keithley. This model is a
high resolution picoammeter and has 8 current measurement ranges from 20 mA down
to 2 nA. The accuracy depends on the current measurement range and lies between 0.4 %
18
3.3
X-ray source
3
EXPERIMENTAL SET-UP
(2 nA) and 0.1 % (20 mA) [37]. The ammeter measures the current between the emitter
structure and ground (Figure 10). This current originates from the electrons which flow
to the emitter structure to replace electrons emitted by field emission processes.
Figure 11: Schematic drawing of the voltage supply and the current measurement. The
electric field necessary for electron field emission is produced by a high voltage applied to an
extractor cathode. The ammeter (“A”) measures the current between the emitter structure
and ground.
3.3
X-ray source
The purpose of the experimental setup is the generation of X-radiation with electron field
emission. Figure 12 shows the setting inside the vacuum chamber.
The generation of X-rays results from accelerating the emitted electrons onto a copper
target (Part C in Fig. 12). The electrons get emitted by an electron field emission structure
(A). The electrons get accelerated by applying a positive high voltage to the copper target.
When the electrons hit the target, X-rays are created by two different atomic processes: Xray fluorescence and bremsstrahlung. X-ray fluorescence is generated when the electron
has enough energy to knock out an orbital electron of the inner electron shells of a copper
atom. The vacancy in the electron shell gets filled by an electron from a higher energy
level and X-ray photons are emitted. Bremsstrahlung is produced as the high-energy
electrons are decelerated by the atomic electrons of the copper target [2]. The X-radiation
is measured by a silicon drift detector (B).
The high voltage applied to the target (C) is produced by the regulated high voltage
power supply FC50P2.4 by Glassmann. This power supply can apply a voltage between 0 V
19
3.3
X-ray source
3
EXPERIMENTAL SET-UP
and 50 kV. Protection against electric spark-overs is ensured by the vacuum. Prevention
against surface leakage currents is assured by a ceramic ring (D) with a diameter of
200 mm and notches on the backside of the ring to increase the protection distance.
Figure 12: The setting inside of the vacuum chamber: Electrons get emitted by electron field
emission (A) and get accelerated by an applied high voltage. The high energy electrons hit
the copper target (C) and X-rays are produced. A silicon drift detector (B) measures the
X-radiation. Prevention against leakage currents is assured by a ceramic ring (D).
However, the electrons do not only produce X-rays at the Cu-target, but most of their
kinetic energy is transferred into heat. Approximately, 99 % of the energy goes into heat,
only 1 % to X-rays [38]. Due to this, the target gets heated and its temperature must
be monitored because of the risk of melting. The temperature measurement must not
be in direct contact with the copper target because of the high voltage. The accuracy of
the temperature measurement does not to be very good because the temperature of the
target should stay far below melting temperature.
The solution for the temperature measurement is a Pt100 resistance temperature detector. It is placed on the backside of the ceramic insulator. The thermal conductivity of the
ceramic insulator is known and therefore the temperature of the target can be calculated.
The electric configuration for the resistance thermometer is displayed in figure 13a. It
shows a Wheatstone bridge with a temperature-dependent resistance of Pt1001 . This
resistance is rising almost linearly with temperature. At 0 °C the voltage drop at all resis1
A Pt100 has a resistance of 100 Ω at 0°C.
20
3.3
X-ray source
3
EXPERIMENTAL SET-UP
tances is the same, hence, the potential difference between V1 and V2 is zero. Due to the
higher resistance with higher temperatures the voltage drop at the Pt100 is also rising.
Thus, the potential difference between V1 and V2 increases. The correlation of the temperature and the potential difference between V1 and V2 is displayed in figure 13b. The
potential difference has a range of 0 mV to 20 mV and is measured with the USB-6341
DAQ by National Instruments. This device has a 16 bit analog digital converter and with
its lowest input range (-5 V to 5 V) a resolution of 160 µV and, therefore, a temperature
resolution of 0.8 K. This resolution is good enough for measuring the temperature at the
backside of the ceramic insulator.
(a) Electric configuration
(b) voltage against temperature
Figure 13: The temperature on the backside of the isolator is measured by a resistance
temperature detector. a) Electric configuration: A Wheatstone bridge is used to measure
the temperature. R5 is the Pt100 resistance temperature detector. The potential difference
between V1 and V2 is rising with a rising temperature. R1 is necessary for current limitation.
b) Linear correlation of temperature T of the Pt100 and potential difference U between V1
and V2.
The X-rays are measured with a silicon drift detector (SDD) Vitus by Ketek. Like other solid
state X-ray detectors, silicon drift detectors measure the energy of an incoming photon
by the amount of ionization it produces in the detector material. A field effect transistor
converts the current into voltage and, thus, is also the first stage of amplification. The
second stage of amplification is carried out by an AXAS-D by Ketek and is required for
a spectral analysis of the X-radiation. For the spectroscopy of the X-radiation the DXP
Mercury 4 by XIA is used.
The X-ray source and X-ray analysis hardware is ready to use. In order to start of measurements only the approval of the TÜV is necessary.
21
3.4
Control program
3.4
3
EXPERIMENTAL SET-UP
Control program
The experimental set-up is controlled with a program written in Labview by National
Instruments. With this program it is possible to measure emitter characteristics, emitter
stability and x-ray spectrum. Within the scope of the present work large parts of the
program were newly programmed because of changes in the experimental set-up.
The emitter characteristic is the measurement of current against voltage. In this measurement the voltage is increased step by step and the current is measured in the following
process, also called “voltage sweep”. The adjustable parameters in the program for a
voltage sweep are:
• maximum voltage: sets the highest voltage applied at the emitter structure
• number of sweeps: sets the number of repeats of the measurement to display
changes in the emitters structure over time
• measurements per voltage: sets the number of currents measurements at one voltage point (see section 4.1.2)
• voltage gradient: sets the time between two voltage steps (see section 4.1.2)
• direction of sweep: the voltage supply can reverse its polarity and, thus, polarity
in the emitter structure can be reversed
Parallel to the measurement data on a logarithmic scale the program also plots the data
in Fowler-Nordheim coordinates (see section 2.4). This makes it easier during the measurement to decide if the current observed stems from electron field emission or not.
The emitter stability is the measurement of current against time for a fixed voltage. In
this measurement constant voltage is applied to the emitter structure and the emitted
current is measured. Tunable parameters are:
• voltage: Sets the constant voltage applied to the emitter structure
• time: Sets the time between the measurement points
For both measurements it is possible to control the pressure in the vacuum chamber (see
section 3.1).
The X-ray detection is measured with the same part of the program as the current stability.
In addition to the parameters voltage and time only the target voltage is adjustable (see
section 3.3), which defines the maximum energy of the X-radiation.
The measurement of the pressure is turned off during the X-ray spectroscopy because the
silicon drift detector has no entrance window and therefore detects also photons with
22
3.4
Control program
3
EXPERIMENTAL SET-UP
lower energy, e.g. infrared light. The pressure gauge works with hot cathode ionization
and thus emits enough light to incapacitate the silicon drift detector. Without the pressure measurement the pressure can still be controlled precisely by setting the flow of N2
through the needle valve (see section 3.1). Deactivating the gauge is also possible for
the measurement of current stability and emitter characteristics. This is important for
p-doped emitters because of the generation of free charge carriers due to light [18].
The program saves all measurements in ASCII file format for later analysis.
23
4
4
CHARACTERISATION
Characterisation of field emitter structures
In this thesis two different types of electron field emitter structures are characterised. One
type is a field emitter structure with micro shadow mask. The other type is a field emitter
device with pillar structure. The fabrication processes of both structures are described
for a better understanding of the emitter structures.
4.1
Emitter structure with micro shadow mask
The first structure characterised is a device with a micro shadow mask. This mask is
necessary for the fabrication process, but it serves also as extraction electrode for electron
field emission.The structures were fabricated by M. Bachmann as part of his doctoral
thesis [6].
4.1.1
Fabrication
The micro shadow mask is fabricated from a stack of silicon nitride and silicon oxide.
Figure 14 displays the process in its separated steps.
Figure 14: Schematic drawing of the fabrication process of the micro shadow mask. 1.: A
silicon substrate gets oxidized and nitrified. 2.: lithography and etching of the silicon nitride
layer 3.: removal of photo resist and selective etching of the silicon oxide 4. silicon deposition
by molecular beam epitaxy [6]
Substrate
The substrate is a 4” Si wafer with a {100} surface, which is fabricated with
the Chzochralski method. The wafer thickness is 525 µm. The structures used throughout
this thesis have an n-doped substrate in all cases.
24
4.1
Emitter structure with micro shadow mask
Oxidation
4
CHARACTERISATION
The oxidation of the silicon is carried out by a wet oxidation process. For
a 1 µm thick oxide layer a temperature of 1050 °C is used for 230 minutes. The water
vapour needs a high chemical purity, therefore, a burner produces the water vapour from
hydrogen and oxygen gas.
Nitration
The silicon nitride (Si3 N4 ) layer is fabricated with a low pressure chemical
vapour deposition process. The fabrication process runs at a temperature of 750 °C and
an atmosphere composed of nitrogen (N2 ), dichlorsilane (H2 SiCl2 ) and ammonia (NH3 ).
The time necessary for 100nm silicon nitride is 51 minutes.
Structuring of the micro shadow mask
The nitride layer gets coated with a photore-
sist. After the coating the location for the micro shadow mask gets exposed to light and
the photoresist at these spots changes its chemical behavior and can be removed with a
developer. A hardbake process is used to make the remaining photoresist more durable
for the next step. The silicon nitride is structured in a reactive plasma etching process
using O2 and CHF3 . An over-etch in this step leads to an enlargement of the structure and
is prevented by monitoring the process with an ellipsometer. The residuals of the cured
photo resist are removed by cleaning the structures with Caros acid (peroxymonosulfuric
acid, H2 SO5 ). The cavity is created by the use of buffered hydrofluoric acid (BHF). BHF
has a high selectivity between silicon oxide and silicon nitride (SiO2 :Si3 N4 100:1 [39])
and, therefore, an undercut occurs between silicon substrate and the silicon nitride.
Silicon deposition Before silicon deposition by molecular beam epitaxy the wafers
must be cleaned. The residuals of the photo resist from the nitration can lead to a
contamination with carbon, which influences the epitaxial growth of silicon [40]. The
remaining residuals of the resist can be removed by means of a RCA-clean which also
removes metallic residuals. The RCA-clean leaves a passivating oxide layer. For silicon
deposition this oxide layer must be removed. This happens by thermal desorption in the
molecular beam epitaxy machine. The silicon deposition creates polycrystalline silicon
on the silicon nitride. Some of the polycrystalline silicon ends up at the silicon substrate
because of the openings in the micro shadow mask. On the bottom of the cavity a silicon
structure grows which forms crystalline surfaces, so-called facets. The forming of facets
can be explained with the different surface energies of different facets [41]. Using the
right process parameters the generation of {111} facets is possible.
Metallisation
For electric contacting structuring of the polycrystalline silicon and a
metallisation is necessary. Ingress of metal into the cavity is prevented by closing the
25
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
opening of the shadow mask with photo resist prior to metallisation. For structuring of
the metal a lift-off process used [6].
Figure 15 shows a photomicrograph of a finished emitter structure. The emitter structure
is surrounded by an aluminum pad for electric contacting. The emitters are buried and
only the openings of the micro shadow mask is visible.
Figure 15: Photomicrograph of a field emitter structure with micro shadow mask. The
shadow mask is also the extraction electrode. The aluminum pad is for electric contact.
4.1.2
Preparation of the measurements
Electrical characterisation of the experimental set-up
The electrical characteristics
of the experimental set-up are important to know to determine the limitations of the
measurements. The electric characteristics are measured by running a normal voltage
sweep with all components involved except the electron emitter structure. The rising
voltage causes a parasitic current due to capacitive charge of all applied components,
e.g. cables or measurement chamber.
Figure 16 displays the limitations for the measurement for two different voltage gradients. The parasitic current shows in both cases a hysteresis like an RC circuit in a
non-linear network [6]. Three data points per voltage show the step function response
of the system. The smaller the step function response the smaller the hysteresis. A measurement of an emission current is under these parasitic currents not possible
The hysteresis can be reduced by reducing the voltage gradient, therefore, all measurements are carried out with a voltage gradient of 1 V/s, where the hysteresis effect is
small. Also all measurements are made with 3 data points per voltage. The analysis of
the measurements uses only the third data point for each voltage, to reduce capacitive
effects.
26
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
Figure 16: The current-voltage characteristics of the measurement set-up show a strong
dependency on the voltage gradient. Below these lines current measurements are not possible.
Sample preparation
Before the charaterisation of the emitter structures can start, some
preparations are needed (Figure 17). The sample holder is a TO-8 header, which is
usually used for X-ray detectors. It has 8 free pins for contacting the emitter structure.2
The emitter structures are glued on a thermoelectric cooler with a ceramic adhesive. For
thermal hardening process of the glue the specimen holder with the emitter structure is
baked at 150 °C for 90 minutes. The emitter structures are contacted with the pins of the
sample holder by wire bonding. The wires are alloyed aluminum wires with a diameter
of 25 µm and for bonding a ultrasonic wedge-wedge process is used.
(a) Emitter structures ready for measurement
(b) Wire bond on contact pad
Figure 17: The field emitter structures are glued on the thermoelectric cooler of the TO-8
header (a). The structures are contacted via wire bonding (b).
2
Figure 17a shows 12 pins but two pins are used for the thermoelectric cooler and two are used for a
thermistor for temperature monitoring.
27
4.1
Emitter structure with micro shadow mask
Measurement of the structure’s dielectric strength
4
CHARACTERISATION
For preventing electric break-
downs of the emitter structure it is important to know the dielectric strength of its insulation. The dielectric strength of an insulator is the maximum electric field it can withstand
without breaking down. The insulation of the structure is the combination of the 200 nm
thick silicon nitride (Si3 N4 ) layer and the 1µm thick silicon dioxide (SiO2 ) . The insulation’s dielectric strength is measured at structures with no cavities 3 .
Figure 18a shows the measurement of the dielectric strength. A rising voltage is applied
to the insulator stack until the current shows an abrupt rise. The voltage where the
current rises steeply is the breakdown voltage. The breakdown voltage of the field emitter
structure is about 700 volts and, therefore, the insulator stack has a dielectric strength
of 6 McmV . Consequently, in all measurements of this type of structure, the applied voltage
must not exceed 700 volts or the structure will be destroyed.
(a) Measurement of dielectric strength
(b) Photomicrograph of the emitter structure after
electric breakdowns.
Figure 18: a) Measurement of the break down voltage of the insulator stack (SiO2 +Si3 N4 ).
The breakdown is visible at a voltage of about 700 volts where the current shows a steep rise.
The current step at 950 volts is the final breakdown of the structure and the measurement
goes into current limitation. b) The breakdown is visible under the microscope.
The destruction is also visible under the microscope. The point where the breakdown
occurs shows melted material (Figure 18b). This melted material forms a conductive
channel and the insulation is destroyed.
4.1.3
Structure with undoped polycrystalline silicon
The first emitter characterised is an array structure with 7000 single emitter structures
(Figure 19). Array structures have a stabilizing effect on the current due to averaging
the emitted current over all single emitters [42]. The single emitters in the array have
3
These structures have no cavities because of limitations of the lithography process [6].
28
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
an edge structure (Figure 20a) and a shadow mask opening of 700 nm. Due to the edge
structure the emitter has two different field enhancement factors: one for the edge and
one for the ends of the edge. Simulations show that the field enhancement factor of the
ends of the edge is approximately two times higher than the field enhancement factor of
the edge [6]. The simulated field enhancement factor at the edge is 6 and at the end of
the edge 12. It is to be expected that the electron field emission occurs at the end of the
edges because of the higher field enhancement factors. An array with 7000 edge emitter
structures has in that case 14000 electron emitting points. The opening of the shadow
mask of 700 nm leads to a growth of a sharp edge structure. The deposited silicon can
form the expected {111} facets. (Figure 20b).
Figure 19: The emitter has an array structure and consists of 7000 single emitters. Between
the metalisation and the extractor cathode a 4µm thick polycrystalline silicon ring is present.
The emitter has a polycrystalline extractor electrode without doping and, therefore, the
electrode has a high resistance. The resistance of the polycrystalline silicon ring (Figure
19) between extractor electrode and metal contact has a value in the range of 1 GΩ and a
high voltage drop at the polycrystalline silicon is the consequence [6]. The voltage drop
at the polycrystalline silicon leads to small emission currents.
The characterised electron field emitter structure has had a long storage time (one year)
in ambient air. Due to this an oxide layer has formed on the surface of the emitter and,
therefore, the emitter array might be dysfunctional. The pressure in the vacuum chamber
is at all measurements at 1 · 10−7 mbar to reduce the influence of the remaining gas, and
a serial resistance is used for limiting the current at possible spark overs.
29
4.1
Emitter structure with micro shadow mask
(a) emitter structure with line shape
4
CHARACTERISATION
(b) Structure with shadow mask opening of 700nm
Figure 20: Scanning electron microscopy of a structure with undoped polycrystalline silicon.
The structure is fabricated with line shape (a) and its shadow mask opening is 700nm (b).
The first sweep (Figure 21a) in forward direction (0 V to 250 V) shows no electron field
emission for voltages below 200 volts. At 210 volts the current shows an abrupt rise till
250 volt. In the backwards direction of the sweep the emitter shows a slowly decreasing
current which has a linear characteristic in the Fowler-Nordheim plot (Figure 21c). This
is called the “switch-on”effect: At high enough electric fields electron channels form due
to hot electron junction (see section 2.3.4). These channels do not exist for a long time
and can destroy the oxide, but show a high field enhancement factor [43]. The emitter
structure shows normal electron field emission like sweep 1 in backwards direction (250V
to 0V) in figure 21a after the “switch on”. The second sweep shows again normal electron
field emission in its forward direction between 100 volts and 230 volts. Above 230 volts
the current shows again an abrupt rising and leads to the destruction of the emitter array.
From the Fowler-Nordheim plot the field enhancement factor and the active emitter surface can be gained (see section 2.4). With a work function of silicon Φ = 4 eV [44] and a
homogenous electric field between the silicon bulk and the silicon nitride layer (see section 4.1.1) Sweep 1 shows, using equation (21), a field enhancement factor of 109 ± 1
and with equation (22) a active emitter surface of (1.11±0.04)·10−22 m2 in its backward
direction. The measured field enhancement factor is much higher than the simulated
field enhancement factor which has a value of 12. The reason for this are the conducting
channels which form due hot electron junction, as they show the same effect like microscopic needles on the emitter surface and, therefore, the field enhancement factor can be
10 times higher than expected from the simulations [34]. The calculated active emitter
surface is no absolute measurement. Because of the simplifications made in section 2.4,
like the negligence of the Nordheim functions, this value can only be used for comparison
of measurements at the same emitter. Different types of emitters can not be compared
30
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
by the active emission area.
(a) Sweep 1
(b) Sweep 1 and Sweep 2
(c) Fowler-Nordheim plot
Figure 21: Characteristics of the strucuture with undoped polycrystalline silicon a) The
“switch-on” effect is observable. At high voltages an abrupt rise of the current occurs. The
current of the backward sweep shows normal field emission behavior. b) The second sweep
shows normal field emission currents until 230 volts in forward direction. At higher voltages
the current shows again an abrupt rise and the emitter is destroyed. c)Fowler Nordheim plot
of sweep 1 and sweep 2. Forward and backward direction are depicted separately.
The second sweep shows two regions of electron field emission in forward direction. In its
first region it shows the same behavior like the first sweep with a high field enhancement
factor of 92.3 ± 3.7 and an active emitter surface of (9.23 ± 2.01) · 10−23 m2 . But at
higher voltages a change is observable at the emitter. The field enhancement factor is
reducing to the value of 26.3 ± 2.7 and, simultaneously, the active emitter surface grows
to a value of(2.35±2.93)·10−18 m2 . This effect can be explained by the destruction of the
oxide due to the high current densities. With the destruction of the oxide the conducting
channel also vanishes and the real emitting structure is laid open. The resulting field
enhancement factor is much closer to the value of 12 of an edge structure.
31
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
The described changes of the emitter would not be observable if all 7000 emitter structures were emitting, but would be averaged out by their fluctuations. This leads to the
assumption that only a small number of the 7000 emitters were working, the vast majority were dysfunctional.
The array structure after the measurements shows signs of spark overs at the boundary
surface of metal and polycrystalline silicon (Figure 22). These are the result of the high
voltage drop over the polycrystalline silicon ring.
(a) before
(b) after
Figure 22: The array structure before (a) and after (b) the characterisation. The boundary
layer between metal and polycrystalline silicon shows signs of spark overs.
4.1.4
Structure with doped polycrystalline silicon
The undoped polycrystalline silicon extractor cathode shows too high a resistivity and,
because of this, the emitter arrays show breakdowns. The next emitter structure investigated has a doped polycrystalline silicon electrode and, therefore, the resistivity between
the extractor cathode and the metalisation is reduced. The doping is produced by a spinon dopand process [6]. In this process a solvent with liquefied silicon oxide is deposited
on the wafer. The liquefied silicon oxide is charged with oxides of dopands. The following step is a tempering of the wafer with the deposited solvent, where the dopands in
the solvent are diffusing into the polycrystalline silicon [45]. For this structure a solvent
with phosphor is used [6]. The remaining oxides are removed by hydrofluoric acid (HF)
[6].
The characterised emitter is an array with 7000 single emitter structures. The single
emitters have again an edge structure and a shadow mask opening of 800nm. The structure has also had a long storage time (one year) in ambient air and, therefore, native
32
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
oxides are expected on the surface of the emitters. Due to the doping of the polycrystalline silicon a low voltage drop results at the boundary layer between the metallisation
and the polycrystalline silicon and, therefore, higher emission currents are expected. In
simulations this structure shows a field enhancement factor in the range of 10 [6]. Figure 23 shows a scanning electron micrograph of the characterised structure. It can be
seen that some of the solvent with the dopands ingressed into the cavities. The residuals
could not be removed by the cleaning step with hydrofluoric acid. Due to this residuals
leakage currents along the walls of the cavities are expected.
Figure 23: The polycrystalline silicon is doped by a spin-on dopand process. Some of the
spin-on dopand solvent got inside of the cavities. The residuals are distributed in the whole
cavities.
The first sweep with this structure (figure 24a) shows a fast rising current from the beginning of the sweep. At 100 volts an abrupt rise in the current is observable. This
abrupt rise is again a conditioning effect due to the destruction of the native oxide on
the emitter surface. Sweep 2 and sweep 3 (figure 24b) show nearly the same current
characteristics and, therefore, the conditioning of the emitter structure is finished after
the first sweep. Most emitters show these conditioning effect before they emit a stable
current. The conditioning is the result of the following effect: The effect is the destruction of the native oxide on the emitter surface due to high electric fields. When these
oxides are destroyed the emitter shows a higher emitted current. Noticeable are the high
currents at low voltages because these are not typical for electron field emission currents.
33
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
The Fowler-Nordheim plot (Figure 24c) shows bent graphs which get linear for higher
voltages. Due to residuals of the spin-on dopand process leakage currents parallel to
the electron field emission current are possible (see also Fig. 23). In the beginning of
the sweep the leakage current is the dominating part of the measured current and the
Fowler-Nordheim plot shows no linear behavior. At higher voltages the electron field
emission current becomes dominating and the Fowler-Nordheim plot changes to linear
behavior.
(a) Conditioning of the emitter structure
(b) Stable emitting current after the conditioning
(c) Fowler-Nordheim plot sweep 2 and sweep 3 in forward
direction
Figure 24: Characteristics of emitter with doped polycrystalline silicon. The first sweep
shows a conditioning effect. Due to destruction of native oxide results a abrupt rise of the
emitted current (a). After that rise the measured current stays stable on that level (b). The
high currents at low voltages result because of leakage currents due to residuals of the spinoff dopand. These leakage currents are also visible in the Fowler-Nordheim plot and become
noticeable because of the curvature in the Fowler-Nordheim plot.
For the characterisation of the emitter it is necessary to cancel the effects of the leakage
currents from the data. The leakage path is parallel to the field emission structure and,
34
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
therefore, the measured current is the summation of field emission current and leakage
current:
I measur ed = I emission + I leakage
(25)
The leakage current can be imagined as a resistor parallel to the field emission structure
and, thus, can be described by the following equation:
I leakage =
Ucathode
R leakage
(26)
With this assumptions one gets for the emission current:
I emission = I measur ed −
Ucathode
R leakage
(27)
Figure 25 displays the Fowler-Nordheim plot of sweep 2 with and without correction. The
resistance of the leakage path is varied until the Fowler-Nordheim plot shows a minimum
of non-linearity. The minimum of non-linearity is determined with the coefficient of
determination R2 . This coefficient is a number that indicates how well a model fit to
data. A value of 1 for R2 indicates a perfect linear fit [46]. The data in Fowler-Nordheim
plot show for a leakage path resistance of 150 MΩ a R2 of 0.995. Without the correction
the linear fit has a R2 of 0.891.
(a) Correction of leakage current
(b) Fowler-Nordheim plot of sweep 2 and sweep 3
Figure 25: a)The graph displays the Fowler-Nordheim plot for the second sweep with and
without a linear leakage current correction. The non-linearity is visibly reduced. The coefficent of determination R2 is a number that indicates how well a model fit to data. b)The
graph shows the corrected Fowler-Nordheim plots of sweep 2 and sweep 3 in forward direction. With a work function of silicon Φ=4eV and a homogenous electric field between the
silicon bulk and the silicon nitride layer sweep 2 shows a field enhancement factor of 597±3
and an active emitter surface of (3.50±0.04)·10−20 m2 . Sweep 3 shows a field enhancement
factor of 644 ± 2 and an active emitter surface of (2.88 ± 0.03) · 10−20 m2 .
35
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
The Fowler-Nordheim plots of sweep 2 and sweep 3 in forward direction show a very
high field enhancement factor. Sweep 2 in forward direction shows a field enhancement
factor of 597 ± 3 and an active emitter surface of (3.50 ± 0.04) · 10−20 m2 . Sweep 3
in forward direction has a field enhancement factor of 644 ± 2 and an active emitter
surface of (2.88 ± 0.03) · 10−20 m2 . The estimated field enhancement factor is in the
range of 10 [6], but the measured one is more than 50 times higher. One reason for this
big value is the doping of the polycrystalline silicon. Due to the doping the resistance
of the extractor cathode is reduced and, therefore, the voltage drop at the cathode is
also reduced. Another influence to the field enhancement factor are the spin-on dopand
residuals. These residuals can form structures with very sharp edges and, thus, very high
field enhancement factors.
The field enhancement factor is calculated from the gradient of the linear fit to the FowlerNordheim plot. The gradient is not only dependent on the field enhancement factor but
also on the work function (see section 2.4.1). The residuals can influence the work function of the emitter by forming potential wells in the potential barrier of the emitter just
like as adsorbed gas atoms or molecules can do (see section 2.3.2). This effect works like
reducing the potential work function. A reduced work function leads to a smaller gradient in the Fowler-Nordheim coordinates and, therefore, to a higher field enhancement
factor.
Because of the high currents (>1 µA) at moderate voltages (50 V) doubts arise if the
measured current is really an electron field emission current or just a leakage current. A
leakage current with a nearly linear characteristic in the Fowler-Nordheim plot must have
a non-linear dependency of the voltage. A varistor shows for example such non-linear
dependency. A varistor has a “diode-like” non-linear current-voltage characteristic [47]
and a “diode-like” characteristic would show a non-linear line in the Fowler-Nordheim
plot with rising gradient in higher voltage regions. A varistor consists of doped oxides
[47] like the spin-on dopand residuals and, therefore, it is possible that the residuals
show “diode-like” characteristic. To test if the measured current is a leakage current or
an electron field emission current the voltage sweep is carried out with a positive and a
negative sweep at the emitter structure. A change of the polarity inverses the adjustment
of the emitter structure. With positive voltages applied at the structure the edge is the
electron emitter. With negative voltages applied at the structure the extractor cathode is
the electron emitter. Due to the change of the electron emitting tip a change in the field
enhancement factor should be visible despite effects of the spin-on dopand residuals.
The negative and the positive sweep (Figure 26a) show very high but different field enhancement factors (Figure 26b). Considering the difference of the field enhancement
factors of the two positive sweeps in figure 26b the difference between the field en-
36
4.1
Emitter structure with micro shadow mask
4
CHARACTERISATION
hancement factors is too small for the conclusion that the currents are emitted from two
different tips. Another fact that complicates the determination of the current source are
the simulated field enhancement factors for the emitter tip and the the tip of the extractor
cathode. The radius of the tip of the edge and the tip of the extractor cathode are of the
same order and, therefore, the simulated field enhancement factor is also in the same
range [6].
(a) Positive and negative voltage sweep
(b) Fowler-Nordheim plot of positive and negative
voltage sweep
Figure 26: To investigate the source of the measured current voltage sweeps with positive
and negative polarity are carried out. The positive sweep shows a field enhancement factor
of 577 ± 1 and the negative sweep an enhancement factor of 703 ± 11.
The decision if the measured current is an electron field emission current or just a leakage
current is not possible with the actual experimental set-up. A proof for electron field
emission would be the generation of X-radiation with the X-ray source (Section 3.3),
which is, however, not possible at the time being.
4.1.5
Emitter structure with high aspect ratio
The last electron field emitter with micro shadow mask characterised is an emitter structure with high aspect ratio (Figure 27). The emitter structures with high aspect ratio are
fabricated like described in section 4.1.1. Only the deposition of silicon is increased for
a higher growth of the emitter tip [6]. The higher aspect ratio should lead to a higher
field enhancement factor (Section 2.3.1) and, therefore, lower voltages are needed for
electron field emission. The emitter tips show a very small distance to the extraction
cathode. The small distance leads to a little influence of the emitter tip on the field emission current. Currents high enough should be reached by the large active emission area
which is defined by the area inside of the extractor cathode.
The characterised structure is again an array with 7000 single emitters. The single emitters have an edge structure and a shadow mask opening of 700 nm.
37
4.1
Emitter structure with micro shadow mask
(a) Emitter structure with high aspect ratio
4
CHARACTERISATION
(b) Emitter inside the opening of the extractor
cathode
Figure 27: Emitter structure with high aspect ratio (a). Due to the high aspect ratio field
emission currents are expected at lower applied voltages. Because of the small distance between the emitter and the extractor cathode the emitter tip has little influence on the emitted
current. The emitted current is defined by the area inside the extractor cathode (b) [6].
All sweeps show a linear current-voltage characteristic like the one displayed in figure
28. The gradient of the linear graph is the inverse value of the serial resistor. This resistor limits the current of possible spark-overs. The measurement of the current-limiting
resistor leads to the assumption of a short-circuit inside of the emitter structure. In figure 28b the tiny distance between the emitter tip and the extractor cathode is visible.
The short-circuits were not visible in the scanning microscope before the measurements,
however, a short-circuit can not be excluded with 7000 emitters parallel.
Figure 28: The emitter structures with high aspect ratio have a short-circuit inside. The
short-circuit are caused by the preparation of the sample. The current-voltage characteristic
shows a linear correlation only, where the gradient of the line is the inverse value of the
current-limiting serial resistor Rserial = 10 MΩ.
38
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
These short-circuits are also measurable at structures with only 4 single emitters (figure
15). In the doctoral thesis of M. Bachmann [6] these emitters show no short-circuits but
electron field emission. This leads to the assumption that the sample preparation causes
the short-circuits in the emitter structure.
The most influencing step of the preparation (Section 4.1.2) is the hardening process
of the glue. The glued sample is baked at 150 °C. At this temperature all parts of the
structure are expanding due to thermal expansion. The expanded structures are touching
each other and the emitter is short-circuited. To investigate this effect pictures with the
scanning electron microscope are made before and after the baking process (Figure 29).
Before the baking process no shorts are visible in the scanning electron microscope. After
the baking process the emitter tip and the extractor cathode show permanent contacts.
(a) before baking
(b) after baking
Figure 29: The emitter structure with high aspect ratio has short-circuits due to the thermal
expansion during the glue hardening process. Before the baking no short-circuits are visible
between emitter tip and extractor cathode (a). After the baking the emitter tip and the
extractor cathode have a permanent connection (b).
4.2
Emitter structure with pillar emitters
The second emitter structure characterised is a field emitter device with silicon pillar
emitters with high field enhancement factors. The emitter is fabricated by the micro
system technology laboratory of the OTH Regensburg.
4.2.1
Fabrication
The pillar emitter structures are fabricated from 100mm n-type silicon wafers with {100}
orientation and a resistivity of < 0.005 Ωcm [48].
39
4.2
Emitter structure with pillar emitters
Oxidation
4
CHARACTERISATION
For the oxidation (Figure 30a) a wet thermal oxidation process is used. The
wet thermal oxide is 700nm thick and is grown on the substrate at 1000 °C. It is used as
a hard mask for the silicon etching process.
Photoresist and reactive ion etching of SiO2
The position of the pillar structure is
defined by a photo-lithographic transfer of disks with a diameter of 3µm and triangular
pitch of 20 µm into the photoresist. Anisotropic reactive ion etching transfers this configuration into the silicon dioxide layer (Figure 30b). The process gases are oxygen (O2 )
and fluoroform (CHF3 ).
(a) Oxidation
(b) Photoresist an reactive ion etching of SiO2
(c) Reactive ion etching of silicon
(d) Reactive ion etching with ion coupled plasma of silicon
(e) Sharpening oxidation
(f) Silicon pillar emitter
Figure 30: Schematic drawing of the pillar structure fabrication process with the following
steps: a) wet thermal oxidation of the silicon substrate b) photo-lithography of the photoresist and transfer of the structures into the silicon oxide c) definition of the silicon tips with
reactive ion etching d) etching of the pillar with reactive ion etching with ion coupled plasma
e)sharpening of the pillar tips with thermal oxidation f) removing the oxide with buffered
hydrofluoric acid [48]
40
4.2
Emitter structure with pillar emitters
Reactive ion etching of silicon
4
CHARACTERISATION
A further reactive ion etching step is necessary to
achieve the shape of the emitters (Figure 30c). A mixture of sulfur hexafluorid (SF6 )
and oxygen (O2 ) is used to etch the silicon substrate anisotropically. The anisotropy
and, consequently, the geometry can be adjusted by gas flow, chamber pressure and RF
power. The combination of reactive ion etching with inductively coupled plasma leads
to an etching of a pillar with low roughness (Figure 30d).
Sharpening oxidation
For sharpening of the pillar tip the remaining silicon is oxidized
(Figure 30e). The oxide is processed at 940 °C. In the last step the oxide is removed by
wet etching with a buffered hydrofluoric acid (Figure 30f).
4.2.2
Simulation of the field enhancement factor
In the scanning electron microscope the emitters in the array show different tip radii (Figure 31). The radius is a defining factor of the field enhancement factor (section 2.3.1).
To know which field enhancement factors can be expected a simulation in COMSOL Multiphysics is set up.
(a) tip with 20nm radius
(b) tip with 35nm radius
Figure 31: Measurement of the tip radii with a scanning electron microscope. The emitter
tips show different tip radii.
The simulation is established using the electrostatic module of COMSOL Multiphysics.
Because of the axissymmetric shape of the emitter it is possible to simulate in a 2dimensional axissymmetric model. The simulated structure has a height of 5 µm and
a pillar diameter of 0.8 µm like measured in the scanning electron microscope. The extractor cathode is 20 µm away from the emitter tip and a voltage of 100 V is applied. The
emitter is grounded. Figure 32a displays a mapping of the electric field at the emitter
tip. The electric field gets enhanced in the microscopic range of the tip.
41
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
The influence of the tip radius is investigated with a parametric sweep between 15 nm
and 40 nm with a step range of 5nm. The influence of the tip radius is displayed in figure
32b. The graph shows the voltage enhancement factor βU of the emitter structure against
the radius of the pillar. The voltage enhancement factor describes the ratio of the local
electric field to the applied voltage (see section 2.4.1). The voltage enhancement factor
can be converted in the field enhancement factor β by multiplying with the distance d
between the extractor cathode and ground:
β = βU · d
(a) Mapping of the electric field
(28)
(b) Voltage enhancement factor dependent on tip radius
Figure 32: The influence of different tip radii is investigated with a simulation in COMSOL
Multiphysics. The mapping of the electric field near the emitter tip shows a high enhancement
of the electric field near the tip (a). The voltage enhancement factor is displayed for different
tip radii in (b). It can be seen that with smaller tip radii the voltage enhancement factor βU
is rising and, therefore also the field enhancement factor β.
Table 1 shows the simulated voltage enhancement factor βU and the resulting field enhancement factor β. It can be seen that the radius of the tip has a big influence on the field
enhancement factor. By doubling the tip radius the field enhancement factor is nearly
halved. Because of this it is expected that emitter structures with small tip radius show
higher electron field emission currents than emitters with larger tip radius at the same
voltage. Because of variance of the radii over an emitter array destruction of the emitters
with small tip radii is possible due to the much higher current densities in the tip (section 2.3.3). It is also possible that the emitters with small radii get blunted and show an
adjustment to the other emitters. Most of the pillar structures show a radius in the range
of 30nm to 35nm, therefore, it is expected that the emitters show a field enhancement
factor in the range of 80.
42
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
Table 1: Field enhancement factors of pillar tips with different radii. Height of the pillar is
5 µm. The distance between ground and extractor cathode is 25µm.
r [nm]
15
20
25
30
35
40
4.2.3
βU [ m1 ]
5.4 · 106
4.4 · 106
3.8 · 106
3.3 · 106
3.0 · 106
2.7 · 106
β
135
110
95
82.5
75
67.5
Sample preparation
The pillar emitter structure has no integrated extractor cathode, therefore, the sample
preparation differs to the preparation described in section 4.2.1. A schematic drawing of
the set-up is displayed in figure 33a. The silicon device with the emitter arrays is placed
on a ceramic plate with metal contact pads. The backside of the silicon emitter device has
also a metallisation. For the insulation between extractor cathode and emitter structure
a mica sheet is placed. Mica has a very high dielectric strength in the range of 25 kV/mm
[49]. It is also possible to thin the sheet by subtracting single layers of the mica. The
mica used for this sample has a small hole above the emitter arrays.
(a) Schematic drawing of the set-up
(b) Sample ready for measurement
Figure 33: a)The silicon device (B) with the pillar emitter array is placed on a ceramic plate
with metal contact pads (A). A mica plate (C) is installed between extractor cathode (D)
and emitter structure (B). It works as insulation and has a small hole above the emitter
array. The extractor cathode (D) is a piece of silicon highly n-doped with metallisation on
both sides for contacting. The ground contact for the emitter structure is a metal contact on
the ceramic plate (A). The extractor cathode is contacted with the sample holder (E). b) A
sample ready for measurement
The extractor cathode is a piece of highly n-doped silicon. It is metallised for contacting.
43
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
The contact to ground is established with a wire soldered onto the metal pad on the
ceramic plate. The extraction voltage is applied via the sample holder which is is in
contact to the silicon plate. A sample ready for measurement is displayed in figure 33b.
The mica sheet in the following experiments has a thickness of about 15µm.
4.2.4
Characterisation of pillar emitter structure
The characterised emitter device is an array structure with 1000 single pillar emitters.
The emitters were in contact with oxygen during the storage, therefore, a native oxide
layer is expected on the silicon.
(a) First sweeps with electron field emission characteristics
(b) Fowler-Nordheim plot of first sweeps
Figure 34: Conditioning of the pillar emitter. (a) The emitter shows its first emission at a
voltage of ~900 volts. In the backwards direction of the sweep a normal emission current is
measured. With the second sweep the electron field emitter array is stabilising on a nearly
constant characteristic. The Fowler-Nordheim plot (b) displays sweep 1 and sweep 2. The
emitter array is stabilising on a field enhancement factor of 228 ± 6 and an active emitter
surface of (2.35 ± 0.3) · 10−17 m2 .
For the first sweep a maximum voltage of 1100 volts is applied. The applied voltages
44
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
are higher than in section 4.1 because of the larger distance between extractor cathode
and emitter due to the mica sheet. With a larger distance higher voltages are needed to
achieve electric fields high enough for electron field emission. The emitters are inside
of a valley with a depth about 5µm because of the fabrication process (section 4.2.1),
therefore the distance of extractor cathode and ground is 20µm.
Figure 34 depicts the behavior of an emitter structure at its first use. At the beginning an
emitter usually has no stable electron field emission characteristics. The emitter develops
its characteristics during the first voltage sweeps. This effect is known as conditioning.
Figure 34a shows the first two voltage sweeps measured with the emitter device. In
forward direction (500 V to 1100 V) the first sweep shows no electron field emission
current until ~900 volts. Over 900 volts an abrupt rise of the current is visible and the
backward direction (1100 V to 500 V) shows a slower decrease of the current. The second
sweep, however, shows in its forward direction nearly the same emission current like the
backward direction of the first sweep, but it reaches higher currents at voltages above
900 V. The backwards direction of the second sweep stays in current regions 10 times
higher.
The observed process can be better understood with the Fowler-Nordheim plot in figure
34b. The first sweep in forward direction shows a “switch-on” process. Due to the high
electric fields at the tip of the pillar structure the native oxide layer gets destroyed and the
bare silicon tips get laid open. The first sweep in backward direction shows (with a work
function of silicon Φ = 4 eV ) a field enhancement factor of 148 ± 2 and an active emitter
surface of (1.66 ± 0.1) · 10−16 m2 . The field enhancement factor is in the range of the
simulated values in section 4.2.2. A field enhancement factor β=148 can be interpreted
with very sharp tip radii in the range of r ® 15nm. The second sweep in forward direction
shows a field enhancement factor of 128 ± 2 and an active emitter surface of (1.87 ±
0.1)·10−15 m2 . The growth of the active emitter area can be explained with more emitter
structures becoming active. The smaller field enhancement factor is explainable with
the destruction of some pillars with high field enhancement factor (Figure 35). The
destruction of these emitters is observable at the high current peak of the second sweep
in forward direction in figure 34a. The blunting of the sharp emitter tips due to thermal
stress is also a possible explanation. Another possible explanation is the activation of
additional emitter tips with larger tip radii. With these emitter tips the average field
enhancement factor of the array shows a smaller value. Most likely a combination of all
described effects is taking.
45
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
Figure 35: Some pillar structures do not endure the conditioning process. They get destroyed
because of too high current densities.
The second sweep in backwards direction shows the end of the conditioning. The field
enhancement factor has reached a value of 228 ± 6 and the emitter array has an active
surface of (2.35±0.3)·10−17 m2 . The oxide is now finally removed from all active emitter
structures and the field enhancement factor grows again because of the reduction of the
tip radii. Some more pillar structures are destroyed and the active surface of the emitter
array shows a reduction.
The electron field emission characteristics of the device with pillar structures are displayed in figure 36. After conditioning the emitter device is stabilised at a field enhancement factor of 217 ± 5 and an active emitter surface of (5.81 ± 0.5) · 10−17 m2 . The
measured field enhancement factor is two to three times larger than the simulated field
enhancement factors. Most likely the measured tip radii were biased due to the native
oxide layer on the silicon. These oxide layers have thicknesses between 3 nm and 7 nm
[50]. If an oxide with a thickness of 5 nm has formed on the emitter tip with a measured
radius of 20 nm results after the removing of the oxide a radius of only 15 nm. The simulations show that such a sharpening of the emitter tip would result in an increase of the
field enhancement factor by 25.
The simulation itself could also show false field enhancement factors because of the used
model. The material of the emitter is not included in the model and, therefore, field
penetration is neglected. But a penetrating electric field should lead to smaller field
enhancement factors.
46
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
(a) Electron field emission current after conditioning
(b) Fowler-Nordheim plot after conditioning
Figure 36: The emitter shows almost identical field emission characteristics after the conditioning for seperate voltage sweeps (a). The field enhancement factor has a value of 217 ± 5
and an active emitter surface of (5.81 ± 0.5) · 10−17 m2 is obtained from the data.
4.2.5
Influence of a serial resistor
One of the biggest challenges for the usage of electron field emitters in x-ray sources
is the current stability. Measurements made with an X-ray source, the emission of the
latter must be very stable and, therefore, the emission current of the electron source
must be stable. One possible way to stabilise the emission current is a serial resistor.
Section 2.3.5 describes the influence of a serial resistor between emitter and ground,
which leads to a suppression of current fluctuations. For investigation of this effect at the
experimental set-up used for this work it is possible to place different resistors between
emitter structure and ground.
The first measurements are voltage sweeps with different resistors in series to the emitter
device. The resistors have values between 1 MΩ and 300 MΩ. At all measurements the
pressure in the vacuum chamber is 1 · 10−7 mbar. The results of the measurements are
47
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
displayed in Fowler-Nordheim plots in figure 37. Resistors with relative low resistances
(Figure 37a) have no visible effect on the emission behavior of the electron field emission
of the array structures. The Fowler-Nordheim plot shows linear behavior in all current
regions. But serial resistors with high resistance (Figure 37b) show an influence on the
emission behavior. The Fowler-Nordheim plot shows a saturation in the higher current
regions. Higher serial resistors limit the current of electrons which are needed to replace
the emitted electrons. In other words: a part of the applied voltage drops at the serial
resistance.
Linear fits in the linear region of the Fowler-Nordheim plots show no difference of the
field enhancement factor β. The field enhancement factor is independent of the serial
resistor. In comparison to the measured field enhancement factors in figure 36 the field
enhancement factor shows a smaller value. The reason for the reduced field enhancement
factor is most likely further blunting of the emitter tips.
(a) 3 MΩ serial resistor
(b) 100 MΩ serial resistor
Figure 37: In the Fowler-Nordheim plot the influence of the serial resistance becomes visible
with a deviation from the linear behavior of electron field emission currents for higher voltages. With low resistance values (a) no change in the emission behavior is visible. With a
higher resistance value changes in the emission behavior become visible (b).
The electron field emission current loses its exponential voltage dependency and is dominated by the linear ohmic behavior of the serial resistor. This change of the voltage
dependency is visible in the linear plot of the voltage (Figure 38). At the beginning of
emission the current shows exponential dependency on voltage which changes into linear voltage dependency with higher voltages applied. A linear fit in the linear region of
the current gives the conductivity of the current path and, therefore, its resistance. The
fitted resistance of the current path is in the same order as the value of the serial resistor.
48
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
It is bigger but the aberration is expectable because the added resistance is still in series
to the field emission structure.
(a) 100 MΩ serial resistor
(b) 300 MΩ serial resistor
Figure 38: With high serial resistors the voltage dependency of electron field emission current
switches from exponatial dependency to linear dependency. A linear fit in the linear regions
yields the resistance of the current path. The resistance of the current paths lies in the region
of the serial resistors. The kink in (b) is the destruction of one emitter tip.
In the following, the current stability is investigated. To measure the stability a constant
voltage is applied to the emitter structure and the emission current is measured against
the time. The fluctuation of the current is measured with two different values. The first
value is the min-max value which describes the percental fluctuation of the minimum
and maximum of the measured currents around the mean current. This value describes
the fluctuation caused by the highest and the lowest peak of the measurement. Such
peaks can occur in the measurement once and the value of the percental fluctuation
does not describe the fluctuation of the remaining measured current values. A second
value is introduced to eliminate these peaks. This is the “90 %” value and it describes
the percental fluctuation of the measured current without the highest and lowest 10 %
of the measured currents. Both values are important to characterise the current stability
because the min-max value describes the fluctuations produced by current peaks and the
90 % values describes the fluctuation of the current which is emitted most of the time.
The first measurement investigates the influence of the saturation region on the current
stability (Figure 39). In the measurement the extraction voltage is risen in steps of 50 volt
and at every voltage level the current stability is measured. It is a measurement along the
Fowler-Nordheim plot from the linear behavior of electron field emission into the nonlinear region of the saturation region. Figure 39 displays the results of the measurement.
The first measurement with 550 volt represents a point of the linear region close to the
49
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
saturation region. The current shows high peaks and, therefore, the min-max value lies
at 350 %. The 90 % value is also very high at 180 %. With every voltage step further into
the saturation region the emission current shows an improvement of stability and high
current peaks are suppressed. This is visible with the min-max value converging to the
90% value. This can be explained with the linear ohmic behavior which is dominating
the emission current at voltages between 650 volts and 700 volts, and current peaks are
effectively quenched by the resistor.
Additional to the current saturation another current stabilising effect comes into play
in this measurement. Because of the variation of the field enhancement factor over the
array the number of emitting single emitters is rising with rising extraction voltage. A
higher number of active emitters is reducing current fluctuations by averaging.
Figure 39: Current stability along the Fowler-Nordheim plot from the linear region into the
saturation region. The fluctuations are reduced in the saturation region caused by the serial
resistor. = min-max, = 90 %-value. Min-max describes the fluctuations caused by the
highest and lowest peak of the measurements. The 90 %-value describes the fluctuations
without the highest and lowest peaks.
P
The next measurement investigates the influence of the resistance value on the current
stability. In the measurement the serial resistance is varied between 1 MΩ and 300 MΩ.
All stabilities are measured with 800 volts applied to the emitter structure. A high applied
voltage is selected to have as many active single emitters in the array as possible. The
resistor has no influence on the field enhancement factor. Another reason for the high
voltage applied is to ensure a saturation region for all resistance values which are high
enough to show saturation.
The measurement is displayed in Figure 40. For small resistors the stability shows high
fluctuations because the resistance values are too small for the generation of a saturation
region. With higher resistors a saturation region develops in the Fowler-Nordheim plot
and, therefore, the current shows less fluctuations. The min-max value and the 90 %
50
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
value show both better current stability with higher resistance values. The min-max
value shows for lower resistances (1 MΩ to 10 MΩ) a small influence. The highest and
lowest current peaks show a small reduction of fluctuations from 70% at 1 MΩ to 60%
at 10 MΩ. The 90% value indicates no real influence of small serial resistances on the
current fluctuations. At 1 MΩ the current shows a flucutation of 45% but with 3 MΩ
and 10 MΩ the current shows the same fluctuations of 40%. A real influence becomes
visible with the development of the saturation region. Saturation regions are observable
at this emitter at serial resistance values of 10 MΩ. The min-max value drops from 60%
at 10 MΩ to 20% at 100 MΩ. The higher current fluctuation at 300 MΩ can be explained
by a single high current peak. Without the highest current peaks (90%-value) the current
stability shows the same improvement of the current stability in the saturation region.
It also shows a better current stability for 300 MΩ serial reistance than for the 100 MΩ
serial resistance.
Figure 40: With higher resistance values the current stability improves. The resistors between 1 MΩ and 10 MΩ do not show a big improvement in the current stability, however,
resistance values higher than 10 MΩ show an improvement of the current stability. With a
300MΩ resistor a stability of 10% can be achieved. = min-max, = 90 %-value. Minmax describes the fluctuations caused by the highest and lowest peak of the measurements.
The 90 %-value describes the fluctuations without the highest and lowest peaks.
P
The resistor does not only influence the current stability but also the mean current (Figure
41). With higher resistance values a higher voltage drops at the resistor and a smaller
voltage is applied to the emitter structure and, therefore, the emission current also drops.
Because of this effect it is not possible to stabilise the current with a high resistance and,
at the same time, emit a high current. A compromise is necessary between the level of
emission current and the level of stabilisation by the resistor.
51
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
Figure 41: Higher resistors lead to a smaller mean emission current due to the higher voltage
drop over the resistor. A compromise is necessary for the stability induced by the resistor and
the mean emission current.
4.2.6
Influence of ambient pressure
The current stability is affected by the ambient pressure around the emitters. One reason
for the influence on the current stability are adsorbed molecules or atoms on the emitter
tip (see section 2.3.2). The other reason is the ionisation of gas residuals (see section
2.3.3).
To investigate the influence of ambient pressure on the current stability the ambient
pressure in the vacuum chamber can be controlled (see section 3.1). The gas used in
the following test is nitrogen (N2 ). The extraction voltage is 800 volts and the serial
resistance has a value of 100 MΩ. With these setting the emitter is in the non-linear
region of the Fowler-Nordheim plot. These settings are chosen to reduce the influence of
other destabilising effects and make visible only the influence of the ambient pressure.
The emission current is in the range of 1 µA.
In figure 42 the result of the measurement is displayed. Again the min-max value and the
90 %-value are used for the interpretation. At very low pressures between 1 · 10−7 mbar
and 1 · 10−6 mbar the the ambient pressure shows no visible influence on the current
stability. The stability is in the same range like in figure 40. With higher pressures is
the current stability decreases. Between 1 · 10−6 mbar and 1 · 10−5 mbar a rise in the
current fluctuations is visible but the difference between the 90 %-value and the min-max
value stays nearly constant. The current fluctuations in this pressure regions are mostly
induced by the adsorption and desorption of atoms on the emitter tips. The ionisation
of gas residuals has no big influence in this pressure region. This is visible in the single
stability measurements because of the not decreasing emission current.
52
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
The ion bombardment shows its impact at pressure regions above 1 · 10−5 mbar. The
min-max value shows a faster rise than the 90 %-value because higher current peaks
occur due to the generation and destruction of small tips and, therefore, the emitter
gets destroyed in this pressure region. A decrease of the emission current is visible in
the stability measurement. For this reason, an emission current higher than 1µA is not
possible for pressures higher than 1 · 10−5 mbar.
Figure 42: The influence of ambient pressure on the stability of the emission current is
measured with a serial resistance of 100MΩ and a extraction voltage of 800 volts. With
this configuration fluctuation of the emission current are reduced and the influence of the
ambient pressure becomes better visible. In pressure regions between 1 · 10−7 mbar and
1 · 10−6 mbar no visible changes in the current stability are observable. In higher pressure
regions the current stability is decreasing and at a pressure of 5·10−5 mbar the ion bombardment is destroying the emitter structure. = min-max, = 90%-value. Min-max describes
the fluctuations caused by the highest and lowest peak of the measurements. The 90%-value
describes the fluctuations without the highest and lowest peaks.
P
4.2.7
Real conditions
The last test with the emitter is a life-time test. The conditions of the life-time test are
chosen by the requirements of an x-ray application. The level of the emission current is
set to 10µA with an applied voltage high enough. The resistance chosen has a value of
30 MΩ, as with higher resistance values it is not possible to reach the 10 µA. The ambient
pressure in the vacuum chamber is set to 1 · 10−5 mbar. This is the estimated vacuum
which is possible to create in a sealed housing without active pumping.
53
4.2
Emitter structure with pillar emitters
4
CHARACTERISATION
Figure 43: Life-time test with 10 µA at an ambient pressure of 1 · 10−5 mbar The emitter
array is able to emit 10 µA for 100 seconds. After the first 100 seconds the current faints
constantly until it reaches a stable region (>3000s) at circa 1 µA. The degradation of the
emitter array can be explained by bombardment with ions.
Figure 43 displays the result of the life-time test. A field emission current of 10 µA can
be emitted for 100 seconds. After the first 100 seconds the current faints constantly. The
reason for the decreasing emission current is the ion bombardment induced by the high
current and the high ambient pressure. In figure 42 the current shows a relative stable
emission at a pressure of 1·10−5 mbar. But the current in this figure has a 10 times smaller
level. With higher currents more ions are generated and the emitter tips get blunted. Due
to the blunting of the emitter tips the field enhancement factor shrinks and, therefore,
the device emits less electrons. With less electrons the ion bombardment is also reduced
and the slope of the reducing current is lessened. In figure 43 it seems like the emitter device reaches a stable emission current (t > 3000 s). For a better understanding a voltage
sweep is carried out after the life-time test (Figure 44). The Fowler-Nordheim plot of the
voltage sweep shows a reduced field enhancement factor. Before the measurement the
field enhancement factor showed a value of β=168 ± 3 (Figure 44a). There is also a saturation region visible for higher applied voltages because of the 30 MΩ serial resistance.
After the life-time test the Fowler-Nordheim plot shows a lower field enhancement factor
of β=137 ± 2 (Figure 44b). The saturation region has vanished because the emission
currents are too low for saturation caused by the serial resistor. The field enhancement
factor of the array after the life-time test is smaller because of the blunted emitter tips
due to ion bombardment. A smaller field enhancement factor leads to less ionisation
and, additionally, the blunted emitter tips are bigger and, therefore, less fragile to ion
bombardment.
54
4.2
Emitter structure with pillar emitters
4
(a) Fowler-Nordheim plot before lifetime test
CHARACTERISATION
(b) Fowler-Nordheim plot after lifetime test
Figure 44: Before and after the life-time test voltage sweeps are recorded. Before the lifetime test the emitter array had a field enhancement factor of 168± 3 . After the life-time test
the emitter array shows a field enhancement factor of only 137 ± 2. The tips of the emitter
structures are blunted because of ion bombardment and, therefore, the field enhancement
factor decreases.
Another reason for the decreasing emission current is the destruction of emitter tips with
very high field enhancement factors. These structures are responsible for a main part
of the emission current and, however, they are very vulnerable to ion bombardment.
The abrupt fall of the current after 100 seconds can be explained by the destruction of
single emitters. These destroyed emitters are visible under the microscope (Figure 45).
The destroyed structures are visible easily, but blunted tip cannot be distinguished from
sharp tips under the microscope. It is also not to judge if the destroyed emitters were
destroyed during the conditioning or during the life-time test because the emitter array
was not dismounted and examined during all the tests.
Figure 45: A lot of destroyed emitter structures are visible after testing. If the emitters were
destroyed during the life-time test or during conditioning cannot be determined.
55
5
SUMMARY AND CONCLUSION
5 Summary and Conclusion
The objective of this thesis is the characterisation of different electron field emitter structures with regards to the usability for an X-ray source. A stable electron emission current
is necessary for a stable X-ray source. The requirement for the electron emitter of an
X-ray source is an emission stability less than 0.2 % over 8 hours [9].
One characterised emitter device is an emitter structure with a micro shadow mask. The
second device characterised is a pillar emitter with high aspect ratio. The influence of
a serial resistor on electron emission behavior is investigated. In addition, the impact
of ambient pressure is investigated with current stability measurements and life-time
measurements.
Measurement set-up
The characterisation of the field emitter devices takes place in a measurement set-up with
a vacuum chamber which provides the possibility to control the pressure in the measurement chamber. As part of the present thesis the measurement set-up was extended to be
able to carry out X-ray measurements. A subprogram for the analysis of the X-radiation
has been added to the control program. The temperature monitoring of the X-ray anode
is realised with a PT100 resistance temperature detector. The temperature can be detected with a resolution of 0.8 K. The X-ray source and X-ray analysis hardware are ready
to use. For the start of measurement only the approval of the TÜV is still missing.
Electron field emitters with micro shadow mask
Three types of emitters with micro shadow mask are investigated. The micro shadow
mask is needed for the fabrication process, but it also serves as an extraction cathode for
electron field emission. The first device characterised is a device with an undoped polycrystalline silicon shadow mask. It shows electron field emission but only for less than
three voltage sweeps. The measured emission currents are in the range of 1 nA with applied extraction voltages of 250 volts. A conditioning of the emitter structure is observed
and could be explained by removing native oxide from the emitter tips. The structure
showed signs of spark overs after the measurements. These can be explained by high
voltage drops over the undoped polycrystalline silicon, which serves as extraction cathode. Because of these spark overs this device is found not to be reliable and inapplicable
for technical applications.
The second structure has an extraction cathode made of doped polycrystalline silicon.
Again, it showed a conditioning effect due to removal of native oxide. After the conditioning high currents in the range of 10 µA with applied voltages of 90 volts were
56
5
SUMMARY AND CONCLUSION
measured at the device. However, in Fowler-Nordheim coordinates the emission current
showed a non-linear behavior, which hints to a leakage path parallel to field emission.
Residuals of the doping process, which are visible in the scanning electron microscope,
might be the reason for the non-linearity, as they could lead to leakage paths. By cancelling the leakage current from the data offline, the voltage-current characteristics could
be made linear in Fowler-Nordheim coordinates. Nevertheless, the current measured can
still result from a non-linear leakage current caused by the residuals of the dopands. An
inversion of the polarity of the extraction voltage gave no conclusive decision on the
origin of the current either, because the field enhancement factors measured with both
polarities show a difference, yet are not big enough to decide. Certainty on the origin of
the measured current can only be achieved by the measurement of X-rays.
The last emitter analysed is a structure with micro shadow mask and high aspect ratio.
The measured current shows linear behavior and the measured resistance has the value
of the current limiting resistor, which was in series to the field emission device. This can
only happen with a short-circuit in the field emitter device. The short-circuit is caused
by the bake process during the sample preparations, as due to thermal expansion the
emitter tip and the metallisation get in touch.
Emitter with pillar structure
The second field emission device characterised is a device with a pillar structure. The
tips have a relatively high aspect ratio because of their height of 5 µm and width of only
0.8 µm. Radii of the emitter tips between 20 nm and 40 nm were measured with the
scanning electron microscope. The influence of the tip radius is examined in a numerical
simulation. The results of the simulation showed that a variation of factor two can be
expected for the field enhancement factor (β20 nm = 110; β40 nm = 67.5)
In the measurements the device again shows conditioning caused by removal of native
oxides. After conditioning repeatable electron field emission characteristics could be
observed, but the measured field enhancement factor (βmeasured = 217) was higher than
the simulated one (βsimulated = 110). This increase of the field enhancement factor can
be explained by a sharpening of the emitter tips by removing the native oxide. With
the simulation and the measured field enhancement factor a tip radius of 10 nm can be
estimated.
With the working electron field emitter it is possible to investigate the influence of a serial
resistor. High serial resistances (greater than 10 MΩ) cause a voltage drop high enough
to dominate over electron field emission. This leads to a saturation of the current in
the emitter and to a linear behavior in the U-I-characteristics. By this saturation the
emission current gets stabilised. The more dominating the resistors’s linear behavior the
57
5
SUMMARY AND CONCLUSION
more stable the emission current. With a serial resistance of 1 MΩ a current stability of
70 % and with a serial resistance of 100 MΩ a current stability of 22 % is measured. But
the emission current is also limited by the serial resistance and therefore it is not possible
to reach high and stable emission currents at the same time that are only stabilised by
a serial resistance. The field enhancement factor is not affected by the serial resistance,
but it remains stable at a value of 172. The decrease of the field enhancement factor,
compared to the first measurements, can be explained by blunting of the emitter tips due
to thermal degradation.
The next influencing parameter that was investigated was ambient pressure. Very low
ambient pressures between 1 · 10−7 mbar and 1 · 10−6 mbar are found not to affect the
stability of the current emission which stays at values of 20 %. With higher pressures
between 1 · 10−6 mbar and 1 · 10−5 mbar the current fluctuations rise to values of 40%
because of adsorption and desorption of gas residuals. Above 1 · 10−5 mbar enough gas
residuals are existent for a damaging effect by ion bombardment. With higher emission currents the damaging effect of ion bombardment can also occur in lower pressure
regions.
The last experiment was a life-time test under real conditions. The emitter device showed
no long life-time (150 seconds) at a relatively high current (10 µA) and relatively high
pressures (1·10−5 mbar). The current decreases fast to 1 µA, most likely caused by blunting of the tips due to ion bombardment. The current of 1 µA could be measured for 6000
seconds, before the measurement was stopped.
Conclusion and Outlook
As part of the present thesis a measurement set-up for the generation of X-radiation based
on electron field emission was finished.
The electron field emitters with micro shadow mask showed no satisfying results in all
cases. The emitter with undoped polycrystalline silicon shows destructions after short
measurements. The structure with doped polycrystalline shows high currents at low
voltages, therefore, doubts arise about the origin of the currents. The source of the
current becomes only clear with the measurement of X-radiation. The device with a
micro shadow mask and high aspect ratio showed a high temperature sensitivity and,
therefore, process steps like gluing or soldering are impossible.
The emitter with pillar structure, however, shows good emission behavior. It was possible to stabilise the current at values of 20 % using only a serial resistance. An emitter
structure of p-doped silicon would lead to additional current stability. Due to p-doping
a saturation region forms because of a deficit of electrons in the conduction band [18].
The impact of gas residuals showed no influence in low pressure regions (1 · 10−7 mbar
58
5
SUMMARY AND CONCLUSION
to 1 · 10−6 mbar). In higher pressure regions (> 1 · 10−6 mbar) the gas residuals show
their influencing effects on electron emission. These effects could be reduced by coating
the emitter tips with resistive materials like metal or ceramics [51, 52].
59
REFERENCES
REFERENCES
References
[1] B. Beckhoff, B. Kanngießer, N. Langoff, R. Wedell, and H. Wolff, Handbook of Practical X-Ray Fluorescence Analysis. Springer Science+Business Media, 2007.
[2] R. Jenkins, X-ray flourescence spectrometry. John Wiley & Sons, 1999.
[3] A. Langford, Practical Skills in Forensic Science. Pearson Education, 2005.
[4] M. S. Shackley, X-Ray Fluorescence Spectrometry (XRF) in Geoarchaeology. Springer
Science+Business Media, 2010.
[5] R. Stratton, “Energy Distributions of Field Emitted Electrons,” Physical Review 135,
A794, 1964.
[6] M. Bachmann, “CMOS Feldemissionsbauteile basierend auf 111-Silizium Oberflächen,” Ph.D. dissertation, Universität der Bundeswehr München, 2014.
[7] Q. Li, J. F. Xu, X. F. Liu, H. B. Song, and W. P. Kang, “Instability and reliability of
silicon field emission array,” Journal of Vacuum Science & Technology, B: Microelectronics and Nanometer Structures 14, 1996.
[8] J. Itoh, “Development and applications of field emitter arrays in Japan,” Applied
Surface Science, 111, 194, 1997.
[9] Specifications of Mini-X X-Ray Tube System for XRF, Amptek, 10 2014.
[10] G. P. Beukema, “Conditioning of a vacuum gap by sparks and ion bombardment,”
Physica 61, 259-274, 1972.
[11] A. Modinos, Field, Thermionic and Secondary Electron Emission Spectroscopy.
Springer Science+Business Media, 1984.
[12] R. H. Fowler and L. Nordheim, “Electron Emission in Intense Electric Fields,” Proceedings of the Royal Society of London, 1928.
[13] G. Fursey, Field Emission in Microelectronics, I. Brodie, Ed.
Kluwer Aca-
demics/Plenum Publishers, 2005.
[14] T. Maul, “CMOS-integrierte Feldemissionsspitzen,” Ph.D. dissertation, Technische
Universität München, 2009.
[15] P. A. Tipler and G. Mosca, Physik für Wissenschaftler und Ingenieure, 6, Ed.
trum Akademischer Verlag, 2009.
60
Spek-
REFERENCES
REFERENCES
[16] R. Forbes and J. Deane, “Reformulation of the standard theory of Fowler-Nordheim
tunneling and cold field electron emission,” Proceedings of the Royal Society A, 463,
2087, 2007.
[17] B. Günther, “Feldinduzierte Elektronenemission für Anwendungen in der VakuumMikroelektronik,” Ph.D. dissertation, Bergische Universität Wuppertal, 2002.
[18] P. Serbun and C. Prommesberger, “Stable field emission of single B-doped Si tips and
linear current scaling of uniform tip arrays for integrated vacuum microelectronic
devices,” Journal of Vacuum Science & Technology, B, 2012.
[19] E. C. Boswell and P. R. Wilshaw, “Emission characteristics and morphology of wet
etched cathodes in p-type silicon,” Journal of Vacuum Science & Technology, B, 1992.
[20] G. N. Fursey and N. V. Egorov, “Field Emission from p-type silicon,” Physica Status
Solidi B: Basic Solid State Physics, 2006.
[21] A. Modinos, “Field emission from surface states in semiconductors,” Surface Science
Volume, 1974.
[22] F. Paschen, “Über die zum Funkenübergang in Luft, Wasserstoff und Kohlensäure
bei verschiedenen Drücken erforderliche Potenzialdifferenz,” Annalen der Physik
37, 69, 1889.
[23] J. Halbritter, “Dynamical enhanced electron emission and discharges at contaminated surfaces,” Applied Physics A: Materials Science & Processing, 1986.
[24] C. J. Bennette, “Electrical Breakdown between Metal Electrodes in High Vacuum,”
Journal of Applied Physics 38, 1967.
[25] E. W. Plummer, J. W. Gadzuk, and R. D. Young, “Resonance Tunneling of Field Emitted Electrons Through Adsorbates on Metal Surfaces,” Solid State Communications
7, 1969.
[26] B. Jüttner, “Instabilities of prebreakdown currents in vacuum 2: the nature of the
emission sites,” Journal of Physics D: Applied Physics, 32, 19, 1999.
[27] L. Huangfu and C. Zhu, “The numerical simulation of thermal effects in silicon field
emitters,” Ultramicroscopy, 79, 189-194, 1999.
[28] W. Zhu, Vacuum Microelectronics. John Wiley & Sons, 2001.
[29] L. W. Swanson, L. C. Crouser, and F. M. Charbonnier, “Energy Exchanges Attending
Field Electron Emission,” Phys. Rev. 151, 327, 1966.
61
REFERENCES
REFERENCES
[30] P. H. Levine, “Thermoelectric Phenomena Associated with Electron Field Emission,”
J. Appl. Phys. 33, 582, 1962.
[31] W. B. Nottingham, “Remarks on Energy Losses Attending Thermionic Emission of
Electrons from Metals,” Phys. Rev. 59, 906, 1941.
[32] G. Yang, “Electron field emission through a very thin oxide layer,” IEEE Transactions
on Electron Devices, 28, 10, 1991.
[33] Q. A. Huang, “Field emission from a silicon surface potential well through a thin
oxide,” Journal of Applied Physics, 78, 11, 1995.
[34] K. H. Bayliss and R. V. Latham, “An Analysis of Field-Induced Hot-Electron Emission from Metal-Insulator Microstructures on Broad-Area High-Voltage Electrodes,”
Proceedings of the Royal Society A, 403, 1825, 1986.
[35] HiPace 80 Turbopumpe Betriebsanleitung, Pfeiffer vacuum.
[36] PBR 260 Compact FullRange BA Gauge Betreibsanleitung, Pfeiffer vacuum.
[37] Model 6485 Picoammeter Instruction Manual, Keithley.
[38] W. Huda, Review of Radiologic Physics, B. Brown, Ed. Lippincott Williams & Wilkins,
2010.
[39] K. R. Williams, “Etch rates for micromachining processing-Part II,” Journal of Microelectromechanical Systems, 12, 6, 2003.
[40] R. C. Pond, “Interfacial defects and epitaxy,” MRS Proceedings, 56, 1985.
[41] H. Baumgärtner, F. Kaesen, H. Gossner, and I. Eisele, “Formation of single-crystalline
silicon nanostructures by self-assembling growth with molecular beam epitaxy,”
Applied Surface Science, 130-132, 747-754, 1998.
[42] C. Hong, “Temporal and spatial current stability of smart field emission arrays,”
IEEE Transactions on Electron Devices, 52, 10, 2005.
[43] A. Evtukh and V. Litovchenko, “Formation of conducting nanochannels in diamondlike carbon films,” Semiconductor Science and Technology 21 1326-1330, 2006.
[44] A. Bhat, Fundamentals of semiconductor devices.
Tata McGraw-Hill Education,
2006.
[45] R. Ghodssi and P. Lin, MEMS Materials and Process Handbook.
ence+Business Media, 2011.
62
Springer Sci-
REFERENCES
REFERENCES
[46] S. Jackson, Research Methods and Statistics. Cenage Learning, 2011.
[47] C. Carter and M. Norton, Ceramic Materials: Science and Engineering.
Springer
Science+Business Media, 2007.
[48] R. Lawrowski, C. Langer, C. Prommesberger, F. Dams, and R. Schreiner, “Fabrication and Simulation of Silicon Structures with High Aspect Ratio for Field Emission
Devices,” Technical Digest, IVNC 2014, P2-25, 2014.
[49] A. Küchler, Hochspannungstechnik:
Grundlage - Technologie - Anwendungen.
Springer Science+Business Media, 2009.
[50] J. Albers, Grundlagen integrierter Schaltungen. Hanser München, 2006.
[51] M. E.-G. L. Chen, “Field emission studies of tungsten-coated silicon-based field emitters,” Ultramicroscopy 79, 1999.
[52] H. Busta, D. Furst, R. Pryor, and L. Li, “Performance improvement of gated silicon field emitters with a thin layer of boron nitride,” Journal of Vacuum Science &
Technology B: Microelectronics and Nanometer Structures 19, 2001.
63
LIST OF FIGURES
LIST OF FIGURES
List of Figures
1
Potential barrier for thermionic emission of electrons from metal into vacuum 4
2
Lowering of the potential barrier due to an electric field and the image
charge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3
Schematic diagram of the generation of surface states at the boundary
surface for zero-field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4
6
Energy diagram of an n-type and a p-type semiconductor emitter in a high
electric field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5
5
7
Current-voltage characteristic of p-doped silicon with a specific resistance
of 3Ωcm at 300K. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
8
6
Field enhancement by a conductive tip. . . . . . . . . . . . . . . . . . . . . .
9
7
Schematic model of resonance tunneling . . . . . . . . . . . . . . . . . . . . .
10
8
Illustration of the Nottingham effect . . . . . . . . . . . . . . . . . . . . . . .
12
9
Dependence of current fluctuations ΔI/I on the serial resistance . . . . . .
14
10
Linear plot and Fowler-Nordheim plot of electric field emitted current . .
15
11
Voltage supply and current measurement . . . . . . . . . . . . . . . . . . . .
19
12
Open vacuum chamber . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
20
13
Electric configuration and correlation between temperature and voltage of
the Pt100 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
21
14
Fabrication of micro shadow mask structures . . . . . . . . . . . . . . . . . .
24
15
Photomicrograph of field emitter structure . . . . . . . . . . . . . . . . . . .
26
16
Current-voltage characteristics of the measurement set-up . . . . . . . . . .
27
17
Preparation for measurement . . . . . . . . . . . . . . . . . . . . . . . . . . . .
27
18
Dielectric strength of the structure . . . . . . . . . . . . . . . . . . . . . . . . .
28
19
Microphotograph of array structure . . . . . . . . . . . . . . . . . . . . . . . .
29
20
SEM of structure with undoped polycrystalline silicon . . . . . . . . . . . .
30
21
Sweep 1 and 2 of structure with undoped polycrystalline silicon . . . . . .
31
22
Signs of spark overs at the boundary layer . . . . . . . . . . . . . . . . . . . .
32
23
Structure with doped polycrystalline silicon . . . . . . . . . . . . . . . . . . .
33
24
Characteristics of emitter with doped polycrystalline silicon . . . . . . . . .
34
25
Fowler-Nordheim plot with and without leakage currents . . . . . . . . . .
35
26
Characterisation of emitter structure with changed polarities . . . . . . . .
37
27
SEM of structure with high aspect ratio . . . . . . . . . . . . . . . . . . . . . .
38
28
Measurement of short circuit in the emitter structure . . . . . . . . . . . . .
38
29
Influence of thermal expansion . . . . . . . . . . . . . . . . . . . . . . . . . . .
39
30
Fabrication of pillar structures . . . . . . . . . . . . . . . . . . . . . . . . . . .
40
31
Different tip radii . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
41
64
LIST OF FIGURES
LIST OF FIGURES
32
Influence of different tip radii . . . . . . . . . . . . . . . . . . . . . . . . . . .
42
33
Sample holder for pillar emitter structures . . . . . . . . . . . . . . . . . . . .
43
34
Conditioning of pillar emitter . . . . . . . . . . . . . . . . . . . . . . . . . . . .
44
35
SEM of destroyed pillar structures . . . . . . . . . . . . . . . . . . . . . . . . .
46
36
Electron field emission characteristics of the device with pillar structures .
47
37
Influence of serial resistor in FN-plot . . . . . . . . . . . . . . . . . . . . . . .
48
38
Linear voltage dependency with serial resistor . . . . . . . . . . . . . . . . .
49
39
Influence of the saturation region . . . . . . . . . . . . . . . . . . . . . . . . .
50
40
Influence of resistance on stability
. . . . . . . . . . . . . . . . . . . . . . . .
51
41
Influence of resistance on mean current . . . . . . . . . . . . . . . . . . . . .
52
42
Influence of the ambient pressure on the current stability . . . . . . . . . .
53
43
−5
Life time test with 10µA at 1 · 10
mbar . . . . . . . . . . . . . . . . . . . . .
54
Fowler-Nordheim plot before and after life-time test . . . . . . . . . . . . . .
55
45
Micrograph of emitter array after testing . . . . . . . . . . . . . . . . . . . . .
55
46
Fowler-Nordheim plot: Influence of serial resistor . . . . . . . . . . . . . . .
66
47
Current stability measurements: Influence of extraction voltage . . . . . .
67
48
Current stability measurements: Influence of serial resistance . . . . . . . .
68
49
Current stability measurements: Influence of ambient pressure . . . . . . .
69
44
65
Appendix
Influence of serial resistor
Appendix
(a) 1 MΩ
(b) 3 MΩ
(c) 10 MΩ
(d) 30 MΩ
(e) 100 MΩ
(f) 300 MΩ
Figure 46: Fowler-Nordheim plot: Influence of serial resistor
66
Appendix
Influence of extraction voltage
(a) Uext = 550 V
(b) Uext = 600 V
(c) Uext = 650 V
(d) Uext = 700 V
(e) Uext = 750 V
(f) Uext = 800 V
Figure 47: Current stability measurements: Influence of extraction voltage
67
Appendix
Influence of serial resistance
(a) R = 1 MΩ
(b) R = 3 MΩ
(c) R = 10 MΩ
(d) R = 30 MΩ
(e) R = 100 MΩ
(f) R = 300 MΩ
Figure 48: Current stability measurements: Influence of serial resistance
68
Appendix
Influence of ambient pressure
(a) p = 1 · 10−7 mbar
(b) p = 5 · 10−7 mbar
(c) p = 1 · 10−6 mbar
(d) p = 5 · 10−6 mbar
(e) p = 1 · 10−5 mbar
(f) p = 5 · 10−5 mbar
Figure 49: Current stability measurements: Influence of ambient pressure
69
Acknowlegement
Acknowledgement
I am using this opportunity to express my gratitude to everyone who supported me
throughout this masters thesis.
I thank Prof. Dr. Kersch for giving me the opportunity to make this thesis and for the
scientific support.
This thesis could not been written without Dr. Martin Hofmann and Dr. Michael Bachmann, who served as my supervisors. I am thankful for their guidance, constructive
criticism and friendly advice during the thesis.
Additionally, I want to thank the company Ketek which gave me the opportunity to take
part of such an interesting project. Furthermore I thank the staff of Ketek for friendly
cooperation and inspiring, helpful or just funny conversations which makes the daily
routine here very pleasant.
70
Name: Felix Düsberg
geb.: 31.05.1989 in Dachau
Matr. Nr.: 05868008
MNM im WS14/15
Erklärung
gemäß § 13 Abs. 5 RaPO
Hiermit erkläre ich, dass ich die Masterarbeit stelbstständig verfasst, noch nicht anderweitig für Prüfungszwecke vorgelegt, keine anderen als die angegebenen Quellen oder
Hilfsmittel benützt sowie wörtliche und sinngemäße Zitate als solche gekennzeichnet
habe.
Ort, Datum
Unterschrift