Download Safe Handling of Compound Semiconductor Exhaust Effluent

Survey
yes no Was this document useful for you?
   Thank you for your participation!

* Your assessment is very important for improving the workof artificial intelligence, which forms the content of this project

Document related concepts
no text concepts found
Transcript
Submitted to Semiconductor Safety Association
for Spring 2001 Symposium
Safe Handling of Compound Semiconductor Exhaust Effluent
Joe Van Gompel, BOC Edwards
11,701 Stonehollow, Suite 100, Austin, TX 78758
[email protected]
INTRODUCTION
The manufacture of compound semiconductors such as gallium arsenide,
indium phosphide, and indium antimonide require the use of a number of very
hazardous gases during deposition steps.
These gases include arsine,
phosphine, trimethyl indium, trimethyl gallium, trimethyl aluminum, silane, and
others. Removal of unconsumed process gases, as well as the products of the
deposition process, is problematic in that some methods of disposal leave the
process engineer with something nearly as toxic as the original gases. The worst
long-term environmental concern among these is arsine, which will always
produce an arsenic-tainted waste stream. In addition to the waste gases
themselves are the hazards associated with pumping this exhaust, namely the
condensation (in the pipework) of pyrophoric elemental phosphorus in the
presence of high flows of hydrogen. The solid phosporus in the pipe generally
qualifies as the most significant immediate safety concern for compound
semiconductor deposition.
Treatment of the compound semiconductor process exhaust can best be
addressed from a systems approach. Combination of the vacuum line, vacuum
pump, exhaust line, and abatement in a single footprint allows for seamless
integration into the process. Integration offers significant safety and installation
savings, as well as CoO advantages. The Zenith III-V Integrated Pump /
Abatement package integrates the vacuum pump and abatement in a single
extracted enclosure, allowing for thoroughly heated exhaust lines (eliminates
phosphorus buildup) as well as a uniform interface to monitor pump and
abatement. The abatement device, typically a Thermal Processor Unit (TPU) or
its high H2 variant known as HELIOS, is a combustor / scrubber combination.
The TPU provides hydride gas removal to below detectable limits while keeping
HCl emissions (high flows of HCl are often used during chamber clean or etch
steps) at or below TLV.
The combustion products, including arsenic,
phosphorus, and gallium oxides, are either filtered as solids in the Water
Recirculation Unit or are dissolved in the water and sent to a suitable waste
treatment facility capable of removing contaminants to levels acceptable for
discharge.
THE PRECURSORS
A hydride is defined as a compound in which hydrogen is attached to a
heteroatom (nitrogen, silicon, boron, arsenic, phosphorus, aluminum,
germanium, sulfur, selenium). The hydrides (ammonia, silane, diborane, arsine,
etc.) are flammable and highly toxic. Indeed, several are pyrophoric, which is to
say they spontaneously ignite and burn on contact with air. There are also
BOC Edwards
Page 1
5/5/2017
Submitted to Semiconductor Safety Association
for Spring 2001 Symposium
metalorganic compounds with alkyl groups attached to heteroatoms (trimethyl
gallium (CH3)3Ga, trimethyl indium (CH3)3In, trimethyl aluminum (CH3)3Al,
trimethyl antimony (CH3)3Sb)), which have similar hazardous properties. Some
relevant properies of these compounds are summarized in Table 1.
TABLE 1
Common Compound Chemistry Precursors
Gas
B2H6
CH4
NH3
SiH4
PH3
AsH3
GeH4
Me3In (TMI)
Me3Ga (TMG)
Me3Al (TMA)
Me3Sb
MP
-165
-182
-78
-185
-133
-116
-165
+88
-16
+15
-88
BP
-92
-164
-33
-112
-88
-55
-89
+134
+57
+125
+80
Flame range
Pyrophoric
5 - 14
15 - 28
Pyrophoric
1% - ?
4.5 - 64
? - > 98%
Pyrophoric
Pyrophoric
Pyrophoric*
Pyrophoric
TLV
0.1 ppm
Asphyxiant
25 ppm
5 ppm
0.3 ppm
0.05 ppm
0.2 ppm
Not est.
Not est.
0.2 ppm
0.05 ppm
IDLH
15 ppm
300 ppm
Not est.
50 ppm
3 ppm
Not est.
Significant Hazard
Highly toxic, pyrophoric
Flammable
Toxic, flammable
Highly toxic, pyrophoric
Highly toxic, pyrophoric
Highly toxic, pyrophoric
Highly toxic, pyrophoric
Highly toxic, pyrophoric
Highly toxic, pyrophoric
Highly toxic, pyrophoric
Highly toxic, pyrophoric
*Trimethyl aluminum is pyrophoric even as a frozen solid.
In the case of the metalorganics, the primary health hazard involves
combustion of the moisture in skin and mucous membranes and the
accompanying tissue damage.
Hydrides possess different physiological
problems. Germane, for example, causes hemolysis, or degradation of the blood
and kidneys, and is unstable above 125 0 C. Arsine causes long term heavy
metal poisoning. Hydrides and metalorganics, due to their significant health and
safety hazards, demand high efficiency abatement. Since some of these, most
notably trimethyl indium, are solids at room temperature, a Systems approach to
pumping and abatement is the best bet. (Elemental phosphorus from phosphine
decomposition is also a pyrophoric solid at room temperature). A Systems
approach includes the high vacuum line (foreline), vacuum pump, exhaust line,
and abatement device together as a single unit in order to minimize risk of
pyrophoric solids buildup.
THE VACUUM PUMP
Compound chemistry deposition tools often use substantial flows of H 2.
Hydrogen is used primarily to maintain a reducing atmosphere and, at elevated
temperatures, is excellent at scavenging trace quantites of oxygen. However, H 2
is a small molecule and poses some pumping challenges for a vacuum system.
The pumping mechanism must be able to move up to 200 slm H 2 and at the
same time maintain the required operating pressures, typically lower than 100
torr. The pump typically requires a Roots booster to maintain pumping speed.
The use of high flows of phosphine is required for deposition of phosphide
compounds. One of the common byproducts of these depositions is elemental
phosphorus, which will deposit in cooler locations as the pressure approaches
BOC Edwards
Page 2
5/5/2017
Submitted to Semiconductor Safety Association
for Spring 2001 Symposium
atmospheric. In addition to pipeline blockages, phosphorus also complicates the
situation because it is pyrophoric – it burns spontaneously on contact with air.
High phosphine loadings call for a drypumping mechanism to prevent
phosphorus from depositing in the pump mechanism. The BOC Edwards iH
drypump operates at elevated temperatures and with a continuous nitrogen
purge, both of which help to prevent phosphorus deposition in the pump.
Thorough heating of the exhaust line is also required to eliminate blockages.
The iH pump operates as much as 40o C higher than other drypumps due to both
a cantilevered design (no bearings to require water cooling) as well as addition
and adiabatic compression of nitrogen into the pumping mechanism itself for
continuous purge throughout the pump.
POINT of USE ABATEMENT
Exhaust management of compound semiconductor process effluent has
several aspects. Quantitative removal of hydride and metalorganic compounds
from the effluent is essential, dictating Point of Use (POU) abatement. If MOCVD
develops in a similar way to silicon semiconductor technology, in-situ chamber
cleaning (probably using HCl) may become ubiquitous. While rooftop scrubbers
can remove significant levels of HCl, often the risk of duct corrosion means POU
abatement is advisable in most facilities. Finally, to preserve a reducing
atmosphere, many compound semiconductor processes use large flows of
hydrogen gas. Clearly a fire and explosion hazard, H2 requires proper attention.
Water scrubbers are very effective at removal of HCl, but since neither
hydrogen nor the common deposition gases are water soluble (or watercompatible), a water scrubber alone is not an adequate solution. Similarly, dry
bed reactors can be tailored to remove both deposition gases and the HCl, but
will still allow the H2 to pass unabated. A water scrubber is not recommended for
this technology unless it is preceeded by a dry scrubber to remove the hydride
gases. Even then, the dry scrubber must retain the hydrides and not be affected
by the HCl.
Dry bed reactors may also be challenged by the high gas flows (both H 2
from the process and N2 from the drypump). At the same time, the cost of
operating the dry bed reactor can be significant in a production environment, as
the flows of hydride gases are pretty high. The maximum inlet temperature of
most dry bed reactors are also limited to temperatures (30 o C) well below the
condensation point of trimethyl gallium and phosphorus.
Only a combustor will remove H2. One solution, based on the previous 2
paragraphs, would involve a dry bed to remove hydrides, followed by a wet
scrubber for HCl, and then by a combustor to safely reduce the H2 to below
flammable limits. The investment in both capital and footprint for three
independent POU systems in sequence is substantial. Instead of daisy-chaining
a dry bed to a wet scrubber to a combustor, a combustor / wet scrubber
combination will address all three aspects of compound semiconductor exhaust
BOC Edwards
Page 3
5/5/2017
Submitted to Semiconductor Safety Association
for Spring 2001 Symposium
management. Both the deposition gases and H2 are safely burned, and the wet
scrubber can effectively remove both HCl and any particulates generated.
INTEGRATED PUMP and ABATEMENT
The integration of pumping and abatement devices has been examined
from time to time in the past as a space-saving measure. In the case of
compound semiconductor processes, an integrated system also gives
advantages in service, safety, installation, and operation. The overall footprint of
the Zenith III-V is 1300 x 1400 mm. The combination of pumping and abatement
also gives the advantage of a single user interface to monitor all aspects of the
pumping, abatement, and wastewater removal.
Figure 1. Schematic of Zenith III-V Combined pump and abatement system.
The vacuum pump, appropriately sized for the flows and pressure
requirements of the specific process (typically an iH-80 with a QMB-500 booster
for total gas flows up to 70 slm @ 90 torr), is set to run hot. The exhaust line
after the pump are thoroughly heat traced and maintained at 120 o C to eliminate
BOC Edwards
Page 4
5/5/2017
Submitted to Semiconductor Safety Association
for Spring 2001 Symposium
phosphorus deposition in the lines. Up to 4 pumps can be installed in a Zenith
III-V system, but 1- or 2-pump configurations are more common due to the high
H2 flows in most processes. Each pump exhaust line is equipped with an
emergency backup absorber cartridge to allow safe abatement of hydrides and
metalorganics in the unlikely event that the primary abatement should fail during
a run. This cartridge has capacity for up to 20 hours of continuous operation,
which will accommodate several process runs. The Zenith III-V is equipped with
a process logic controller that monitors the process time on line for the absorber,
so there is no risk of overuse and emission of untreated hydrides.
The heated exhaust line leads directly into the TPU abatement device.
The TPU uses a natural gas-fueled inward-fired combustor followed by a 3-stage
wet scrubber. The combustor itself is comprised of a cylindrical ceramic fiber
matrix that measures 300 mm long and 150 mm inner diameter. A lean fuel-air
mixture is forced into a plenum around the combustor. Flameless combustion is
supported on the inner surface of the matrix, giving a uniform isothermal zone
that typically operates between 700o C and 1000o C depending on the process.
Tests using quadrupole mass spectrometry and hydride-specific analyzers on the
exhaust effluent from the TPU show removal of such gases as silane, phosphine,
and arsine (> 1 slm) to below detectable limits. The inlets to the combustor are
kept free of solids by a pneumatically actuated plunger that operates at 10minute intervals. In the case of the high H2 variant HELIOS, a sleeve of air
around the nozzle itself augments the self-cleaning mechanism. The additional
air ensures thorough combustion of hydrogen by supplying sufficient oxygen for
complete conversion to water vapor.
The combustion of the various deposition gases will generate particulates
of the appropriate metal oxides (As2O5, Ga2O3, Al2O3, In2O3, SiO2, etc). These
particulates are swept from the combustor into the first-stage quench. Deposition
of solids in the combustor is avoided by the inward flow of hot gases from the
plenum. The buildup of solids in the quench is precluded by a continuous water
wash on the inner wall of the quench, thereby preventing buildup by eliminating
solid surfaces. The hot gases are then cooled by a water spray, which also
serves to entrain the particulates in water droplets. These droplets are removed
in the horizontal cyclone quench zone, and any remaining acid gases
(phosphoric acid will form immediately from P2O5 with water) are removed to TLV
levels in the high efficiency packed tower. The resulting effluent contains N 2, O2,
water vapor, CO2, and < 3 ppm NOx.
A Water Recirculation Unit (WRU) can recirculate the water in the 3-stage
wet scrubber. The integrated WRU draws the scrubber water through a heat
exchanger, which is cooled by Process Chilled Water from the fab. After the heat
exchanger, the water is pumped through a 50-micron polymer fiber filter and
returned to the TPU. The WRU will recirculate water for up to 10 minutes, at
which time a portion of the water is dumped to drain and is replenished with soft
water. (Calcium or magnesium in the water will rapidly form insoluble arsenates
BOC Edwards
Page 5
5/5/2017
Submitted to Semiconductor Safety Association
for Spring 2001 Symposium
and phosphates and foul the pump). The flush / refill sequence can be varied
during high HCl flows to keep the pH in the wastewater from getting too low.
Typical soft water consumption is less than 2 slm, and HCl values can be
maintained at < 0.5%. The water train of the TPU is of polymer construction to
prevent corrosion and and associated maintenance issues. With the use of the
WRU to reduce water consumption, facilities cost of ownership for the abatement
system (not including drypump) is significantly less than what would be spent on
packed bed cannisters.
Figure 2. Zenith III-V combined pumping and abatement system.
Combustion followed by wet scrubbing, while ideal for quantitative removal
of process effluent, leads to the issue of heavily contaminated wastewater. Such
compounds as phosphoric acid (H3PO4), arsenic acid (H3AsO4), germanium
oxide (GeO2, which is somewhat soluble in water), and salts of indium, antimony,
gallium, and aluminum will be present in high enough levels to preclude
discharge into the municipal water treatment system. Treatment of this waste
stream is possible using a number of existing technologies. In all cases the pH
would be adjusted to neutral by addition of NaOH or some other base. One of
the leading technologies involves precipitation of arsenates and phosphates by
reaction with ferric ions (Fe+3), followed by filtration, either by treatment with a
BOC Edwards
Page 6
5/5/2017
Submitted to Semiconductor Safety Association
for Spring 2001 Symposium
flocculating agent for ease of filtration, or microfiltration. Several companies that
specialize in industrial wastewater treatment have successfully commercialized
this technology. If the wastewater can be made pure enough to be returned as
feed water to the UPW system, then overall water consumption for this process
drops to zero. Wastewater treatment systems can be sized from 2 gpm up to
hundreds of gpm for fabwide needs. Other technologies include membrane
separations, adsorption onto clays, and ion exchange, although these
technologies, while effective for drinking water (ppb) levels, are not as cost
effective at high ppm levels seen in these applications.
There are numerous Zenith III-V systems currently in use worldwide.
These Zeniths can typically consume up to 15 kg PH 3 between maintenance
intervals, which equates to about 6 weeks of heavy production. This interval also
exceeds to the tool maintenance interval for most MOCVD tools.
SUMMARY
The process precursors for compound semiconductor deposition are
hazardous due both to toxicity and flammability characteristics. Proper exhaust
management of effluent gases, from the tool to the exhaust duct, is imperative.
While there are several approaches, the combustor / wet scrubber combination
presented in the TPU and Helios abatement units quantitatively remove all
process exhaust gases with minimal footprint and superior Cost of Ownership vs
dry bed scrubbers. In addition, the integration of the drypumps with the
abatement in the Zenith III-V ensures a safe operating environment with cabinetextracted connections and heated pipework to eliminate pyrophoric phosphorus
deposition.
BOC Edwards
Page 7
5/5/2017